计算光刻速度提高40倍,台积电预计6月将英伟达AI加速技术cuLitho导入2nm试产-IT之家https://www.ithome.com/0/681/513.htm

None

相关推荐

封面图片

台积电2nm芯片试产1000片 苹果英伟达有望首发

台积电2nm芯片试产1000片苹果英伟达有望首发台积电当前最先进的工艺是3nm节点,去年底量产,苹果明天的WWDC发布会上可能会有3nm工艺N3生产的M3处理器,如果没有那就是秋季的iPhone15所用的A17处理器首发3nm了。3nm工艺之后,台积电还有2nm工艺N2,这一代会放弃FinFET晶体管工艺,转向GAA晶体管,相较于N3E工艺,N2在相同功耗下速度增快10%-15%,或在相同速度下功耗降低25%-30%,不过晶体管密度提升就只有10-20%了。台积电2nm工艺预计会在2025年量产,2024年就要小规模量产,而试验性生产更早,今年就已经安排上了,近期就会在竹科基地建立小量试产生产线,目标今年试产近千片。试产顺利的话,台积电2nm将导入后续建设完成的竹科宝山晶圆20厂,由该厂团队接力冲刺2024年风险试产与2025年量产目标。至于台积电的2nm客户,除了惯例的苹果之外,这次还会多出英伟达参与,这让人很意外,很有可能是英伟达未来的AI、HPC高性能芯片,毕竟今年之后需求更高。...PC版:https://www.cnbeta.com.tw/articles/soft/1363529.htm手机版:https://m.cnbeta.com.tw/view/1363529.htm

封面图片

台积电公布A16 1.6nm工艺:对比2nm性能提高10%、功耗降低20%

台积电公布A161.6nm工艺:对比2nm性能提高10%、功耗降低20%据了解,台积电在此次的北美技术论坛中,首度公开了台积电A16(1.6nm)技术,结合领先的纳米片晶体管及创新的背面供电(backsidepowerrail)解决方案以大幅提升逻辑密度及性能,预计于2026年量产。台积电还推出系统级晶圆(TSMC-SoWTM)技术,此创新解决方案带来革命性的晶圆级性能优势,满足超大规模数据中心未来对AI的要求。台积电指出,适逢台积电北美技术论坛举办30周年,出席贵宾人数从30年前不到100位,增加到今年已超过2,000位。北美技术论坛于美国加州圣塔克拉拉市举行,为接下来几个月陆续登场的全球技术论坛揭开序幕,本技术论坛亦设置创新专区,展示新兴客户的技术成果。台积电总裁魏哲家博士指出,我们身处AI赋能的世界,人工智慧功能不仅建置于数据中心,而且也内置于个人电脑、移动设备、汽车、甚至物联网之中。台积电为客户提供最完备的技术,从全世界最先进的硅芯片,到最广泛的先进封装组合与3DIC平台,再到串连数位世界与现实世界的特殊制程技术,以实现他们对AI的愿景。此次论坛公布新技术包括:台积电A16技术随着台积电领先业界的N3E技术进入量产,接下来的N2技术预计于2025年下半年量产,台积电在其技术蓝图上推出了新技术A16。据介绍,A16将结合台积电的超级电轨(SuperPowerRail)构架与纳米片晶体管,预计于2026年量产。该超级电轨技术将供电网络移到晶圆背面,为晶圆正面释放出更多信号网络的布局空间,借以提升逻辑密度和性能,让A16适用于具有复杂信号布线及密集供电网络的高效能运算(HPC)产品。台积电表示,相较于N2P制程,A16在相同Vdd(工作电压)下,速度增快8-10%,在相同速度下,功耗降低15-20%,芯片密度提升高达1.10倍,以支持数据中心产品。台积电创新的NanoFlex技术支持纳米片晶体管台积电即将推出的N2技术将搭配TSMCNanoFlex技术,展现台积电在设计技术协同优化的崭新突破。TSMCNanoFlex为芯片设计人员提供了灵活的N2标准元件,这是芯片设计的基本构建模块,高度较低的元件能够节省面积并拥有更高的功耗效率,而高度较高的元件则将性能最大化。客户能够在相同的设计内存块中优化高低元件组合,调整设计进而在应用的功耗、性能及面积之间取得最佳平衡。N4C技术台积电还宣布将推出先进的N4C技术以因应更广泛的应用。N4C延续了N4P技术,晶粒成本降低高达8.5%且采用门槛低,预计于2025年量产。据介绍,N4C提供具有面积效益的基础硅智财及设计法则,皆与广被采用的N4P完全兼容,因此客户可以轻松移转到N4C,晶粒尺寸缩小亦提高良率,为强调价值为主的产品提供了具有成本效益的选择,以升级到台积电下一个先进技术。CoWoS、系统整合芯片、以及系统级晶圆(TSMC-SoW)台积电的CoWoS是AI革命的关键推动技术,让客户能够在单一中介层上并排放置更多的处理器核心及高带宽内存(HBM)。同时,台积电的系统整合芯片(SoIC)已成为3D芯片堆叠的领先解决方案,客户越来越趋向采用CoWoS搭配SoIC及其他元件的做法,以实现最终的系统级封装(SysteminPackage,SiP)整合。台积电系统级晶圆技术提供了一个革新的选项,让12英寸晶圆能够容纳大量的晶粒,提供更多的运算能力,大幅减少数据中心的使用空间,并将每瓦性能提升好几个数量级。台积电已经量产的首款SoW产品采用以逻辑芯片为主的整合型扇出(InFO)技术,而采用CoWoS技术的芯片堆叠版本预计于2027年准备就绪,能够整合SoIC、HBM及其他元件,打造一个强大且运算能力媲美数据中心服务器机架或甚至整台服务器的晶圆级系统。硅光子整合台积电正在研发紧凑型通用光子引擎(COUPE)技术,以支持AI热潮带来的数据传输爆炸性成长。COUPE使用SoIC-X芯片堆叠技术将电子裸晶堆叠在光子裸晶之上,相较于传统的堆叠方式,能够为裸晶对裸晶界面提供最低的电阻及更高的能源效率。台积电计于2025年完成支持小型插拔式连接器的COUPE验证,接着于2026年整合CoWoS封装成为共同封装光学元件(Co-PackagedOptics,CPO),将光连接直接导入封装中。车用先进封装继2023年推出支持车用客户及早采用的N3AE制程之后,台积电借由整合先进芯片与封装来持续满足车用客户对更高运算能力的需求,以符合行车的安全与质量要求。台积电正在研发InFO-oS及CoWoS-R解决方案,支持先进驾驶辅助系统(ADAS)、车辆控制及中控电脑等应用,预计于2025年第四季完成AEC-Q100第二级验证。...PC版:https://www.cnbeta.com.tw/articles/soft/1428666.htm手机版:https://m.cnbeta.com.tw/view/1428666.htm

封面图片

成立一年的日本芯片公司Rapidus已搞定EUV光刻机 计划2025年试产2nm工艺

成立一年的日本芯片公司Rapidus已搞定EUV光刻机计划2025年试产2nm工艺Rapidus社长小池淳义日前在采访中透露,他们已经完成了1台EUV光刻机的筹备,不过具体的型号及进度没有说明,不确定是ASML的哪款EUV光刻机,何时安装、测试也没公布。Rapidus公司成立了仅仅9个月多,不到一年时间就筹备完成EUV光刻机,进度确实很快。小池淳义表示,通常大规模量产先进工艺需要至少1000名工程师,但他们引入了AI和自动化技术,现在有500名工程师了,用一半的资源就能完成。根据该公司的计划,他们2025年试产2nm工艺,2027年量产,2030年代预计营收将达到1万亿日元。...PC版:https://www.cnbeta.com.tw/articles/soft/1360153.htm手机版:https://m.cnbeta.com.tw/view/1360153.htm

封面图片

消息称台积电 2nm 制程设备安装加速,预计 2025 年量产

消息称台积电2nm制程设备安装加速,预计2025年量产据台湾《工商时报》消息,半导体供应链消息称,台积电2nm制程加速安装设备,台积电新竹宝山Fab20P1厂将于4月进行设备安装工程,为GAA(环绕式闸级)架构量产暖身,预计宝山P1、P2及高雄三座先进制程晶圆厂均于2025年量产,并吸引苹果、英伟达、AMD及高通等客户争抢产能。台积电对此不发表评论。(界面新闻)

封面图片

2025年开始生产2nm芯片 台积电将敲定3nm和2nm客户

2025年开始生产2nm芯片台积电将敲定3nm和2nm客户并且由于工艺技术难度增加,以及台积电包括后端先进封装在内的一站式服务,其3nm和2nm工艺主要客户不太可能在2027年之前转移订单或减少产量。不过由于台积电的CoWoS产能供不应求,三星也正在努力获得英伟达等的先进封装订单,以及7nm以下工艺订单。但英伟达的2024年路线图表明,其仍在努力从台积电获得CoWoS产能,并没有计划将订单转移给三星,英伟达与三星的合作重点仍是存储芯片,并且还未确定是否引入英特尔。此前英伟达CEO黄仁勋,以及AMD、高通和联发科的高管均表示,有可能与其他晶圆代工厂进行合作,但其首要目标仍是与台积电谈判价格。...PC版:https://www.cnbeta.com.tw/articles/soft/1403871.htm手机版:https://m.cnbeta.com.tw/view/1403871.htm

封面图片

台积电和新思科技将部署英伟达cuLitho计算光刻平台英伟达当地时间3月18日宣布,台积电和新思科技将使用英伟达的计算光刻技术,

🔍 发送关键词来寻找群组、频道或视频。

启动SOSO机器人