台积电或与英伟达博通合作 推进硅光子技术开发

台积电或与英伟达博通合作推进硅光子技术开发据相关媒体报道,台积电(TSMC)已经组织了一支大约由200名专家组成的专门研发团队,专注于如何将硅光子学应用到未来的芯片。传闻台积电打算与英伟达及博通(Broadcom)等厂商合作,共同推进硅光子技术的开发。其中涉及的元器件覆盖45nm到7nm制程技术,预计相关产品最早于2024年下半年获得订单,2025年将进入大批量生产阶段。由于数据传输速率的提升,功耗和热管理变得更加关键,业界提出的解决方案包括使用光电共封装(CPO)技术,将硅光子元件与专用集成芯片封装在一起。台积电相关负责人表示,如果能提供一个良好的硅光子整合系统,就能解决能源效率和AI算力两大关键问题,现在可能处于一个新时代的开端。不少科技巨头都在推动整合光学和硅技术,比如英特尔。英特尔实验室在2021年12月还成立了互连集成光子学研究中心,以推动数据中心集成光子学方面的研究和开发工作,为未来十年的计算互连铺平道路。在更早之前,英特尔还展示了集成关键光学技术构件模块的硅平台,包括了光的产生、放大、检测、调制、CMOS接口电路和封装集成。...PC版:https://www.cnbeta.com.tw/articles/soft/1383373.htm手机版:https://m.cnbeta.com.tw/view/1383373.htm

相关推荐

封面图片

传台积电携手博通、英伟达等开发硅光子及共同封装光学元件

传台积电携手博通、英伟达等开发硅光子及共同封装光学元件面对人工智能(AI)掀起的海量数据(维权)传输需求,硅光子及共同封装光学元件(CPO)成为业界新趋势。对于相关传闻,台积电表示,不回应客户及产品状况。不过,台积电高度看好硅光子技术,台积电副总余振华日前曾公开表示,“如果能提供一个良好的硅光子整合系统,就能解决能源效率和AI运算能力两大关键问题。这会是一个新的典范转移。我们可能处于一个新时代的开端。”硅光子是日前刚刚落幕的“SEMICONTaiwan2023国际半导体设备展”中,业界热议的话题,台积电、日月光等半导体巨头都发表相关主题专讲,主因是AI应用遍地开花,如何让巨量数据传输速度更快、达到信号无延迟等问题浮上台面,传统以电作为信号传输的方式已不敷需求,硅光子是将电转换成传输速度更快的光,成为业界高度期盼用以提升巨量数据传输速度的新世代技术。台积电、英特尔、英伟达、博通等国际半导体指标业者都陆续展开硅光子及共同封装光学元件技术布局,最快2024年就可看到整体市场出现爆发性成长。业界传出,台积电正与博通、英伟达等大客户联手开发硅光子及共同封装光学元件等新产品,制程技术一路从45nm延伸到7nm,最快2024年就会有好消息,2025年迈入放量产出阶段,届时可望为台积电带来全新商机。业界人士透露,台积电已筹组约200名先遣研发部队,未来将可望将硅光子导入CPU、GPU等运算制程当中,由于内部原有以电子传输线路更改为传输速度更快的光,运算能力将会是现有运算处理器的数十倍起跳,目前仍在研发及论文学术阶段,业界高度看好相关技术有望成为台积电未来数年营运爆发性增长的新动力(维权)。业界分析,高速数据传输目前仍采用可插拔光学元件,随着传输速度快速进展并进入800G世代,及未来进入1.6T至3.2T等更高传输速率,功率损耗及散热管理问题将会是最大难题,半导体业界推出的解决方案,是将硅光子光学元件及交换器特殊应用芯片(ASIC),透过CPO封装技术整合为单一模块,此方案已开始获得微软、Meta等大厂认证并采用在新一代网络构架。即便CPO技术因为刚进入市场,生产成本仍偏高,随着先进制程推进至3nm,AI运算将推动高速传输需求,并进一步带动高速网络构架重整,预期CPO技术将是不容忽视且必要的技术,2025年之后将大量进入市场。...PC版:https://www.cnbeta.com.tw/articles/soft/1382971.htm手机版:https://m.cnbeta.com.tw/view/1382971.htm

封面图片

台积电涉足硅光子技术 制定12.8Tbps COUPE封装互连路线图

台积电涉足硅光子技术制定12.8TbpsCOUPE封装互连路线图台积公司的紧凑型通用光子引擎(COUPE)采用该公司的SoIC-X封装技术,将电子集成电路堆叠在光子集成电路(EIC-on-PIC)上。该代工厂表示,使用其SoIC-X技术可实现芯片到芯片接口的最低阻抗,从而实现最高能效。EIC本身采用65nm级工艺技术生产。台积电的第一代三维光学引擎(或COUPE)将集成到OSFP可插拔设备中,运行速度可达1.6Tbps。这一传输速率远远超过了目前的铜以太网标准(最高可达800Gbps),凸显了光互连在重型网络计算集群中的直接带宽优势,更不用说预期的节能效果了。展望未来,第二代COUPE的设计目的是集成到CoWoS封装中,作为与交换机共同封装的光学器件,从而使光互连达到主板级。与第一代COUPE相比,第二代COUPE支持高达6.40Tbps的数据传输速率,并减少了延迟。台积电的COUPE第三代产品--在CoWoS互连器上运行的COUPE预计将进一步改进,将传输速率提高到12.8Tbps,同时使光连接更接近处理器本身。目前,CoWoS上的COUPE还处于开发的摸索阶段,台积电还没有设定目标日期。与许多同行不同的是,台积电至今尚未涉足硅光子市场,而是将这一领域留给了GlobalFoundries等公司。但随着三维光学引擎战略的实施,该公司将进入这一重要市场,以弥补失去的时间。...PC版:https://www.cnbeta.com.tw/articles/soft/1428787.htm手机版:https://m.cnbeta.com.tw/view/1428787.htm

封面图片

1.6nm、晶圆级超级封装、硅光子集成...台积电北美6大技术王炸

1.6nm、晶圆级超级封装、硅光子集成...台积电北美6大技术王炸  研究机构TechInsights报告显示,台积电2023年总销售额达到692.76亿美元,成为全球半导体产业冠军。摩根大通(小摩)、摩根士丹利等金融服务机构均对台积电的后续发展给出乐观预测,小摩在最新报告中认为,台积电在技术创新和先进封装领域的领先地位,以及在AI时代的关键作用,通过一系列技术突破,有望在未来几年继续保持在半导体产业的领先地位。以下为台积电在2024北美论坛公布的六大半导体技术:A161.6nm制程技术台积电A16制程节点是其首个整合纳米片晶体管(nanosheet)以及背面供电技术“SuperPowerRail”的节点,特别适合高性能计算(HPC)及人工智能(AI)应用,是台积电N2P制程的迭代。根据台积电此前公布的路线图,N2、N2P2nm节点定于2025年量产,A16预计将于2026年下半年量产。与2nmN2P节点相比,A16提高了晶体管密度和能效,在相同Vdd(正电源电压)下可实现8~10%的速度提升;在相同速度下,功耗可以降低15~20%。该技术可以帮助数据中心计算芯片实现1.07~1.10倍的芯片密度。台积电在北美峰会同时宣布A14工艺节点,预计将采用第二代纳米片晶体管以及更先进的背面供电网络,有望在2027~2028年开始生产,预计不会采用HighNAEUV光刻机。根据路线图,台积电1nm制程A10已在规划中。消息人士于2024年1月透露,台积电将更先进制程的1nm晶圆厂规划在嘉义科学园区,已派人前往目标地块勘测。这一选址离嘉义高铁站车程仅七分钟,往北串起台积电中科、竹科厂,往南串连南科厂及高雄厂,便于工程师通勤交流。NanoFlex创新纳米片晶体管台积电即将推出的N2制程工艺将采用NanoFlex创新纳米片晶体管技术,这是该公司在设计与技术协同优化方面的又一突破。NanoFlex为N2制程标准单元提供设计灵活性,其中短小晶体管单元可实现更小的面积和更高能效,而高单元则最大限度提高性能。客户能够在同一设计内优化小单元和大单元的组合,调整设计,以达到最佳功耗、性能和面积平衡。N4C制程技术台积电宣布推出N4C技术,是N4P的迭代,可降低8.5%的芯片成本,计划于2025年量产。该技术提供具有高效面积利用率的基础IP和设计规则,与广泛应用的N4P兼容,缩小芯片尺寸并提高良率,为客户提供高性价比选择。CoWoS、SoIC和系统级晶圆(TSMC-SoW)台积电表示,CoWoS先进封装已成为AI芯片的关键技术,被广泛采用,允许客户将更多的处理器内核与HBM高带宽存储堆叠封装在一起。与此同时,集成芯片系统(SoIC)已成为三维芯片堆叠的领先解决方案,客户正越来越多地将CoWoS与SoIC及其他组件搭配使用,以实现最终的系统级封装(SiP)集成。台积电宣布推出CoW-SoW封装技术(TSMC-SoW),基于台积电于2020年推出的InFO-SoW晶圆上系统集成技术迭代而成。通过晶圆级系统集成封装技术(SoW),可以在单片12英寸晶圆上制造大型芯片阵列,提供更强算力的同时,减少空间占用,并将每瓦性能提升多个数量级。此前特斯拉的DojoD1超级芯片,就利用台积电的此类工艺实现,利用单片晶圆实现强大算力。据悉,特斯拉自研的DojoD1超级芯片采用台积电7nm制程,并结合InFO-SoW先进封装、垂直供电结构制造而成,用于训练自动驾驶汽车AI大模型。参数方面,每个模组包含5×5总计25颗芯片,每个单芯片包含高达354个核心,因此片上SRAM换从总计达11GB,算力9050TFLOPS。台积电表示,首款SoW产品——基于集成扇出型封装(InFO)技术的纯逻辑晶圆已投入生产。利用CoWoS技术的CoW-SoW晶圆预计将于2027年问世,届时将可以集成SoIC、HBM和其他组件,创建强大的单晶圆级系统,其计算能力可以与整个机架甚至整个服务器相媲美。这类芯片将拥有巨大的面积,可以集成四个SoIC芯片+12个HBM存储芯片以及额外的I/O芯片,功率可达数千瓦。硅光子集成COUPE台积电正在开发紧凑型通用光子引擎(COUPE)技术,以支持人工智能热潮带来的数据传输爆发式增长。COUPE采用SoIC-X芯片堆叠技术,在硅光子芯片堆叠电子芯片,并保证两片芯片之间最低的传输阻抗,能效比传统堆叠方式更高。台积电计划在2025年将COUPE技术用于小尺寸插拔式设备,速度可达1.6Tbps,相比当前最先进的800G以太网成倍提升。2026年,台积电将其整合入CoWoS封装中,作为共同封装光学器件(CPO)直接将光学连接引入封装中,这样可以实现高达6.4Tbps的速度。第三个迭代版本有望进一步改进,速度翻倍至12.8Tbps。汽车芯片先进封装继2023年推出N3AE“AutoEarly”制程后,台积电将继续通过整合先进芯片和先进封装,满足汽车客户对更高算力的需求,以及车规级认证的要求。台积电正在为高级辅助驾驶系统(ADAS)、车辆控制和车载中央计算机等应用开发InFO-oS和CoWoS-R解决方案,目标是在2025年第四季度之前获得AEC-Q1002级认证。日前台积电法说会之后,大摩预计台积电Q2营收将环比增长5%~7%,并给出860元新台币的目标股价预测。小摩预测台积电今年毛利率维持在52%~54%区间,预计今年年底3nm产能将达到10万片规模,明年将增加到15万片,并给出900元新台币的目标股价。小摩同时预计,台积电在未来3~4年内,在AI芯片的市场占有率仍将维持在90%以上,到2027年AI相关收入占比将升至总营收的25%。台积电法说会、多场技术论坛过后,给市场释出稳健信号,包括花旗银行、美银证券、瑞银在内的金融机构,均对台积电给出全年营收增长的预测。在人工智能市场需求持续增长的带动下,以及美日芯片工厂新产能的释放,预计台积电未来几年将持续领衔全球半导体产业,并凭借技术实力保持AI芯片领域的龙头地位。...PC版:https://www.cnbeta.com.tw/articles/soft/1429780.htm手机版:https://m.cnbeta.com.tw/view/1429780.htm

封面图片

台积电一口气发三个新技术 有力回应三星和英特尔的挑战

台积电一口气发三个新技术有力回应三星和英特尔的挑战01推出3D光学引擎布局下一代通信技术台积电准备用造芯片的方式造光模块,省功耗、省空间。当前通信网络采用的光模块技术,主要是把各个组件组装在一起(见下图)。这种集成方式随着传输速率的提升,会产生高功耗的问题。为了解决这一问题,台积电推出了一种新的光模块产品。简单来讲,就是把制作芯片的那套技术,用在了光模块的制作上。这种方法,使得光模块体积大幅减小,材料成本、芯片成本、封装成本也进一步得到优化。这是一种新型光模块技术,也是业内公认的下一代通信技术。(台积电1.6T光引擎产品图)然而,台积电并不是硅光领域的唯一布局者,GlobalFoundries、IMEC、PowerJazz等厂商也早早做了布局。由于硅光芯片不是先进制程,通常在45nm~130nm之间,国内硅光设计公司基本都是找GlobalFoundries,IMEC,PowerJazz这些厂商做代工。与同行相比,台积电进入硅光市场的时间相对较晚,但仍然领先于英特尔和三星。此外,这次大会上,台积电披露了雄心勃勃的光引擎战略,一年一迭代:25年推出1.6T可插拔光学引擎,26年推出6.4T光引擎。考虑到台积电在芯片制造领域的绝对地位,以及公司制定的雄心勃勃的产品战略,未来在硅光市场,台积电会是一股不可忽视的力量,也许会抢占本身就在这一领域发展的公司的市场份额。02背面供电助力高性能芯片需求用全新供电方式,提升芯片的空间利用率。关于芯片的供电方式,目前市场的主流做法是把电源部署在芯片的正面,这会导致电源挤占芯片空间。台积电此次推出的背面供电技术,解决了这一问题。除了台积电,IMEC和英特尔也积极研发背面供电技术。三者相比,IMEC的技术成本最低,但性能逊于台积电;台积电的技术成本最高,但性能最好。总的来看,台积电在背面供电技术上更胜一筹。总体而言,台积电的新型背底供电解决方案是一项创新技术,可以提高芯片的性能、功耗效率和面积利用率。有望在未来的移动设备和数据中心芯片中得到广泛应用。033D封装之外一种新的选择——“晶圆级系统”通过在晶圆上互连芯片,让不受空间限制的数据中心,获得更快的互连速度。随着芯片上晶体管的增多,市场对芯片集成度的要求也越来越高。特别是手机/电脑等终端,芯片无法做的很大,必须小巧,因此主要采用3D封装来集成芯片(垂直堆叠芯片)。但面对数据中心这样,对芯片面积要求不是很高的场景。台积电推出了一种新的芯片集成方案——“晶圆级系统”。该技术将多个芯片直接在晶圆上互连,更多的是在横向去扩展芯片系统(见下图),预计未来封装后尺寸将达到12x12cm。台积电使用该技术已经陆续推出了不少产品。例如,英伟达今年推出的B100GPU,由两个Blackwell小芯片组成一个B100芯片;Cerebras的“大芯片”,同一片晶圆上连接了90万个核心。在晶圆级互连上,台积电也远远领先于英特尔和三星这两大对手。总的来看,台积电的龙头地位虽然受到挑战,但仍然是晶圆领域的霸主。而英特尔夸下的海口,还没那么容易实现。...PC版:https://www.cnbeta.com.tw/articles/soft/1428969.htm手机版:https://m.cnbeta.com.tw/view/1428969.htm

封面图片

剑指台积电:英特尔重注押宝先进封装

剑指台积电:英特尔重注押宝先进封装英特尔封装/组装和测试技术开发资深总监PatStover说,“我在封装领域已有27年经验,透过封装技术延续了摩尔定律”。封装技术的改进,被称为“先进封装”。通俗地说,先进封装,就是将芯片像乐高积木那样堆叠组合,再把这些组合封住固化成一个整体。这是用3D立体方式解决物理存在极限带来的微缩障碍。台积电、英特尔和三星电子等,都在提高这种技术的研发投入。英特尔在亚太区下了封装投资重注,选择的国家是马来西亚。看上,英特尔很想在台积电的封装版图中,掰下一块蛋糕,但就目前的进展看,还没到真正能对台积电形成威胁的那个时刻。2.5D/3D封装的异同点是什么?一般来说,先进封装是指2.5D以上的封装技术。所谓2.5D,就是堆叠部分芯片;3D是实现全部堆叠。目前,苹果(Apple)的M1Ultra芯片,采用的是台积电的InFO封装技术(InFO_Li),为2.5D;英伟达(NVIDIA)AI芯片则用了台积电CoWoS封装堆叠技术,又被称为“3DIC”。但也有技术论文称台积电CoWoS也是2.5D封装技术。台积电SoIC技术则属于3D封装。2.5D/3DIC封装都是新兴的半导体封装技术,都能实现芯片间的高速和高密度互连,从而提高系统的性能和集成度。这两者的区别:首先是连接方式不同。2.5D封装通过TSV硅转接基板连接芯片,将两个或多个有源半导体芯片并排置于硅中介层,以实现多个/组芯片的高密度互连;3DIC封装是将多个芯片/组作垂直堆叠,再通过直接键合技术实现芯片间的互连,特点是芯片组之间连接相对于2.D封装更短,尺寸也更小。其次,制造工艺不同。2.5D封装要制造硅基中介层,还要做微影技术等复杂工艺;3DIC封装的制造工艺是要应用直接键合技术,难度很高。第三,应用场景和性能不同。2.5D封装通常在高性能计算、网络通信、人工智能和移动设备等领域有大规模应用,具有较高的性能和相对更灵活的设计;3DIC封装通常应用于存储器、传感器和医疗器械等领域,集成度较高,封装体积也相对更小。英特尔的2.5D封装技术被称为“EMIB”,自2017年开始在产品中得以应用。与一般2.5D封装技术不同之处是EMIB没有TSV转接基板。所以无需额外工艺,设计也较为简单。英特尔的资料中心处理器SapphireRapid即采用了这项技术。英特尔首代3DIC封装称为“Foveros”,2019年时用于英特尔上一代计算机处理器Lakefield。就技术特色而言,EMIB透过“硅桥(SilliconBridge)”(而非TSV转接基板),从下方连接高带宽存储器(HBM:HighBandwidthMemory)和运算等各种芯片(die)。由于硅桥会埋在基板(substrate)中并连接芯片,达成高带宽存储器和运算芯片的直接连接,因此这样就能加快芯片本身的能效。Foveros采用3D堆叠,将高带宽存储器、运算单元和架构等不同功能的芯片组像汉堡包一样层叠,再用铜线穿透每层芯片组,就像将筷子穿透插入汉堡包,以此达到连接效果。最后,工厂将已完成堆叠的芯片送到封装厂座组装,接合铜线与电路板上的电路。9月即将发布的英特尔新一代CPU“MeteorLake”,即采用了第二代“Foveros”3DIC技术。目前,台积电CoWoS封装技术产能不足。业界有消息称,苹果公司预订了台积电CoWoS封装大部分产能,迫使高通将部分芯片订单转给三星电子。目前,三星电子的3D封装技术被称为“X-Cube”。就这两种封装技术的应用广度和深度看,3D封装技术仍在早期阶段,2.5D封装技术也没有完全放量。有一点很有意思,据PatStover透露,在英特尔IDM2.0战略指引下,即使客户未在晶圆代工厂下单,也可以使用先进封装服务。这说明英特尔开始从“产品导向”转变为“用户导向”,不再强调产品本位思维,转而向着“客户需求定制”商业模式转换。比如,客户可以直接在英特尔完成封装,而没有强制规定客户必须通过英特尔代工厂完成芯片制造的所有流程。海外封测核心重镇在哪?英特尔在9月即将发布的新一代CPU“MeteorLake”,采用了自家的3DIC封装技术“Foveros”,封装环节也会在自家工厂完成。英特尔做先进封装是认真的。8月底,有媒体消息称,英特尔副总裁兼亚太区总经理StevenLong表示,目前英特尔正在马来西亚槟城兴建最新的封装厂,以强化2.5D/3D封装布局。这将是继英特尔新墨西哥州及奥勒冈厂之后,首座在美国之外采用英特尔Foveros先进封装架构的3D封装厂。根据英特尔的规划,到2025年,英特尔Foveros封装产能将达到当前水平的4倍。届时,槟城新厂将成为英特尔最大的3D封装厂。此外,英特尔还将在马来西亚居林高科技园区兴建另一座封装测试厂。未来英特尔在马来西亚的封测厂将增至6座。在2022年末举行的英特尔On技术创新峰会上,英特尔CEO基辛格表示,英特尔代工服务将开创“系统级代工时代”。不同于仅向客户供应晶圆的传统代工模式,英特尔还提供硅片、封装、软件和芯粒等多项服务。此外,从PatStover的描述中可以看到,英特尔将芯片代工的各个环节都做了“拆/整”组合,以更灵活的方式由客户自行挑选。值得一提的是英特尔Foveros计划推出FoverosDirect,这能实现直接铜对铜键合转变。通过HBI(HybridBonding)技术以实现10微米以下的凸点间距,让不同芯片间实现超过10倍的互联密度提升。这就使得晶圆制造与先进封装之间的界限不再那么泾渭分明,但其对先进封装工厂要求也大幅提升。据英特尔企业副总裁暨亚太日本区(APJ)总经理SteveLong透露,英特尔在亚太区的多国均有投资,但主要集中在日本和马来西亚,尤其以后者的投资额为最高。华尔街见闻查阅英特尔公开投资记录发现,在亚太区,英特尔在中国(成都)有投资组装测试厂;在越难,英特尔也做了组装测试厂的投资。就封装厂而言,英特尔目前有在建和规划中的3座工厂:分别位于美国新墨西哥州(在建)、马来西亚槟城(开建)和马来西亚居林(规划)。目前英特尔官方并未透露Foveros的产能数据。就眼下的情况看,台积电和三星电子不必对英特尔的先进封装产能有过分担忧。因为英特尔在两年前宣布投资35亿美元扩充的新墨西哥州先进封装产能厂,至今仍未完工。至于马来西亚槟城新厂的完工时间,估计要到2024年底和2025年初。未来英特尔在马来西亚将有6座工厂。现有的4座分别为槟城和居林(Kulim)的两座封测厂,以及在居林负责生产测试设备的系统整合和制造服务厂(SIMS)和自制设备厂(KMDSDP)。英特尔芯片组数计工程事业部副总裁SureshKumar表示,拥有设计能力是马来西亚基地的重要特色,同一个专案能和美国奥勒冈州(Oregon)的研发团队轮流交替,可以24小时不间断地投入研发,“马来西亚设计团队已经拥有32年的历史,加上产线近乎完整,在这边设计速度也会较快”。...PC版:https://www.cnbeta.com.tw/articles/soft/1381243.htm手机版:https://m.cnbeta.com.tw/view/1381243.htm

封面图片

国内首款2Tb/s 3D集成硅光芯粒成功出样 华为、英伟达等巨头都在押注

国内首款2Tb/s3D集成硅光芯粒成功出样华为、英伟达等巨头都在押注访问:Saily-使用eSIM实现手机全球数据漫游安全可靠源自NordVPN发射芯粒据介绍,团队在2021年1.6T硅光互连芯片的基础上,进一步突破了光电协同设计仿真方法,研制出硅光配套的单路超200Gdriver和TIA芯片。同时还攻克了硅基光电三维堆叠封装工艺技术,形成了一整套基于硅光芯片的3D芯粒集成方案。接收芯粒经系统传输测试,8个通道在下一代光模块标准的224Gb/sPAM4光信号速率下,TDECQ均在2dB以内。通过进一步链路均衡,最高可支持速率达8×256Gb/s,单片单向互连带宽高达2Tb/s。硅光互连芯粒的侧向显微镜结构目前在芯片技术的发展过程中,随着芯片制程的逐步缩小,互连线引起的各种效应成为影响芯片性能的重要因素。而硅光子技术可以将电换成传输速度更快的光,实现更快的传输速率、更远的传输距离以及更低的功耗和延迟。华为、台积电、英特尔、IBM、Oracle等巨头都在推进硅光的产业化,未来可能会成为一个像集成电路那样大规模的产业。...PC版:https://www.cnbeta.com.tw/articles/soft/1430265.htm手机版:https://m.cnbeta.com.tw/view/1430265.htm

🔍 发送关键词来寻找群组、频道或视频。

启动SOSO机器人