生成式AI 可以设计芯片了

生成式AI可以设计芯片了而在五月十日,Google在IO大会上发布了ChatGPT的竞品,即PaLM2大语言模型。Google表示,目前ChatGPT类生成式大语言模型最重要的用户体验之一就是帮助用户编写代码,而PaLM2的一大特性就是完成20多种编程语言的支持。其中,对于芯片设计工程师来说,最大的亮点就是PaLM2支持数字电路设计领域最常用的编程语言Verilog。百闻不如一试,目前PaLM2已经在Google的Bard平台上线开放公测,因此我们也尝试使用Bard去体会了一把PaLM2生成Verilog代码的能力。在试验中,我们让Bard生成了两段代码,一段代码是生成一个FIFO(数字电路中最常用的模块之一),而另一段代码则是生成一个模块,其中包含了两个前面编写的FIFO,并且让第一个FIFO的输出接入第二个FIFO的输入。生成的方法非常简单,我们只需要给Bard一个基于自然语言的指令(prompt),Bard就能够在几秒钟之内完成相应的代码生成。例如,在第一个实验中,我们使用的指令是“生成一段Verilog代码来实现FIFO”,生成结果如下图:从结果来看该生成的代码的语法正确,逻辑基本正确,但是在FIFOfull和empty的信号逻辑上并没有完全弄对(当然其实FIFO的full和empty的逻辑也是面试中常考的问题,要完全弄对并没那么简单)。在代码风格上,我们还可以在指令中加入更多提示,类似“在代码中加入更多注释”,“使用参数来定义接口宽度”等等。而在第二个实验中,我们主要看的是Bard能否复用之前生成的模块,并且基于这个来生成新的更大的模块。我们使用的指令是“写一个模块,其中包括两个你前面写的FIFO模块,并且第一个FIFO的输出接入第二个FIFO的输入”。在这里我们可以看到生成的代码基本正确,因此我们认为PaLM2基本拥有了能基于自底向上生成复杂代码的能力。芯片设计领域生成式AI的进化之路我们从上述实验中可以看到,Google的PaLM2已经具有了基本的Verilog代码生成能力,可以生成基本模块和复合模块,当然其代码生成的质量还有待提高。而除了PaLM2之外,我们认为其他公司推出的类似ChatGPT的大语言模型也有可能会加入对于Verilog类硬件描述语言的支持。根据Google在IO大会上发布的信息,目前ChatGPT类的大语言模型已经成为许多工程师在代码编写时的重要助手。如果我们参考IT领域软件开发工程师应用ChatGPT类大语言模型协助代码编写的发展的话,我们认为在芯片行业大语言模型也非常有可能会起到重要作用。这里,根据大语言模型在开发流程中起到的角色,我们可以大致分成三种应用。第一种应用是根据用户的指令直接生成代码,即我们在本文前面给出的两个例子。第二种应用是在工程师编写代码的时候,帮助工程师自动补全代码;例如工程师只需要输入一行代码的前几个字符,大语言模型就可以根据代码的上下文自动帮助补全代码,从而节省工程师的开发时间。第三种应用是帮助工程师分析代码和debug,正如ChatGPT可以帮助用户优化Python代码并找到代码中的bug一样,经过相关数据训练的大语言模型也可以在Verilog中实现相似的功能。展望未来,参考大语言模型在IT行业的应用轨迹,我们认为大语言模型对于芯片设计方面的帮助预计将会从代码自动补全开始,因为这也是大语言模型在IT行业的切入口——目前我们已经看到类似Githubco-pilot这样的代码补全产品已经得到了许多IT公司的应用来帮助软件工程师提升编程效率。相对来说,代码补全类应用对于大语言模型的要求相对较低,目前的模型已经能实现相当高的准确率,因此我们预期在芯片设计领域也会有应用在Verilog领域的基于大语言模型的代码补全工具会很快出现帮助工程师提高效率(估计Google内部芯片团队已经开始使用类似的工具)。在代码补全之后,随着大语言模型的进一步发展,根据用户的指令自动生成代码的大语言模型也将会得到越来越多的应用。这类代码直接生成类应用从目前来看还需要和整个项目开发流程进一步磨合——究竟这类代码自动生成的应用最适合使用在底层模块的编写,还是在上层模块间集成的生成上,还需要进一步探索,但是无论如何ChatGPT在自动代码编写领域的潜力惊人,可以把原来人工需要数小时才能编写完的代码在几秒内完成,这样的效率提升无疑将会给整个行业和芯片开发流程带来革命性的变化。目前来看,ChatGPT类大语言模型在Python等流行编程语言的代码编写方面已经有很不错的效果,这证明了大语言模型实现自动代码编写、补全和debug在理论和工程上都是可以实现的。Google的PaLM2对于Verilog的支持仍然有待进一步完善的主要原因我们认为还是训练的数据量不够。从训练数据数量的角度来说,互联网上有海量的开源Python代码可供训练大语言模型来完成高质量的代码生成,但是互联网上可用于训练大语言模型的Verilog代码的数量比起Python等流行语言来说可能是要少了几个数量级。并不是人类编写的Verilog代码数量不够多,而是绝大多数Verilog代码都不是开源的,而是芯片公司的知识产权,例如Google在训练PaLM的时候不太可能获得高通的Verilog代码。未来谁会在开发芯片设计领域的大语言模型方面拔得头筹?我们认为有几个不可忽视的力量:首先是拥有全栈技术能力的大型技术公司,这些公司既有开发大语言模型的能力,又有成功的芯片业务,包括美国的Google和中国的华为等。从技术上来说,这些公司积累了大量的Verilog相关代码可供训练大语言模型,而从业务上来说,这些公司同样也有使用大语言模型来提升芯片设计团队效率的驱动力。其次是EDA巨头,包括Synopsys、Cadence等。这些EDA公司拥有极强的业务驱动力和紧迫感,因为大语言模型AI确实会成为EDA行业下一个革命性变化,谁在这个领域占领了先机就会在下一代EDA竞争中取得优势;从技术积累上来说,这些公司拥有不错的AI模型能力,同时也有海量的Verilog代码数据量可供训练模型(因为这些EDA公司都有相当成功的IP业务,在开发这些IP的同时积累了足够的高质量代码数据)。最后,开源社区的力量也不容忽视。从大语言模型角度来看,开源社区在CahtGPT以及开源LLAMA语言模型的基础上做了大量有意义的探索,另外随着RISC-V等开源项目的增加,开源社区拥有的数据量也会越来越多。我们预期开源社区有机会去实现一些小而美的基于大语言模型的新颖应用,从而也能推动整个大语言模型在芯片设计领域的技术发展。生成式AI会如何影响芯片设计工程师的工作那么,随着ChatGPT式AI在芯片设计中扮演越来越重要的角色,芯片工程师的日常工作将会发生怎么样的变化?由于这里ChatGPT类生成式AI主要针对代码编写等前端工作,我们这里的讨论范围也主要是前端数字设计工程师。首先,对于主要工作是前端模块设计和集成的芯片工程师来说,我们预计很快就会有ChatGPT类的工具可以帮助代码补全,从而增加效率。而在未来三到五年的时间范围内,直接使用ChatGPT类生成式AI首先模块代码编写有望获得真正的应用。从这个角度来说,我们并不认为前端工程师的工作会被取代;相反,数字前端工程师的工作可能会越来越多地专注于模块的功能定义,以及如何使用生成式AI能理解的方式来描述这个设计,让AI能产生和工程师设计相符的代码;从这个角度,甚至可能会出现一些标准化的模块功能定义描述语言,从而让AI能产生合理的代码。此外,芯片验证工程师的工作将会变得越来越重要。生成式AI可以在几秒钟内生成代码,但是其生成质量从目前来看尚需提高。PC版:https://www.cnbeta.com.tw/articles/soft/1360967.htm手机版:https://m.cnbeta.com.tw/view/1360967.htm

相关推荐

封面图片

ChatGPT强势加入芯片设计:不用学专业硬件描述语言 说人话就行

ChatGPT强势加入芯片设计:不用学专业硬件描述语言说人话就行在ChatGPT的帮助下,他们不仅设计出CPU上的一个组件,甚至还通过了有效性验证环节。这个组件主要负责创造出一种基于八位累加器的微处理器架构的逻辑。而累加器本质上是寄存器(存储器),是专门存放算术或逻辑运算的一个操作数和存储运算结果的。而这是CPU运作中不可或缺的一部分。所以,还有什么是大语言模型不能做的?有网友表示:芯片设计的部分流程实现自动化无疑是个好消息。也有网友表现出对在芯片设计中使用AI编写HDL的担忧:ChatGPT对芯片设计干了啥?通常,设计和制造芯片的过程中会经历几个阶段。其中一个阶段是用硬件描述语言(HDL)(例如Verilog)描述芯片内不同部件的实际几何形状、密度和整体布局。在此前,作为一个极其专业化和复杂的领域,HDL编写一直是一项相对罕见且非常难以掌握的工作。研究团队成员之一、也是研究助理教授的HammondPearce博士更是认为:硬件描述语言的最大挑战就是没有多少人知道如何编写它们,很难成为这方面的专家。这也就意味着,即使是最好的工程师也还是经常需要用这种语言做一些琐碎的事情。而ChatGPT作为一种模式识别器,可以在各种类型的语言中转换自如,这就可以帮助工程师们跳过HDL阶段。△使用LLM创建IC(集成电路)的设计流程。在这项研究中,研究人员分别使用LLM对八个具有代表性的硬件设计示例进行了研究。工程师与LLM之间实时来回交互,将纯英文文本逐步转化为Verilog(HDL)的等效代码。其中一位硬件工程师与LLMs共同设计了一种新颖的基于八位累加器的微处理器体系结构。他们将这些基准测试和处理器发送到Skywater130纳米Shuttle进行流片(tapeout)。这个过程中,研究人员评估了ChatGPT-4、ChatGPT-3.5、Bard、HuggingChat四个不同LLM创建硬件设计的Verilog能力:此外,研究人员还针对8位移位寄存器进行了基准测试。告诉大模型正在尝试为一个“测试名称”创建一个Verilog模型。然后提供规范说明,定义输入和输出端口以及其他所需的具体信息。最后询问他该如何编写一个满足这些规范的设计。△8位移位寄存器的设计提示下面是不同大模型给出的设计方案:△左图是ChatGPT-4的八位移位寄存器设计,右图是ChatGPT-3.5△左图是Bard(第4行的“红色”输入过宽),右图是HuggingChat(截断,格式化)如上图所示,虽然ChatGPT都能够满足规格要求并开始进行设计流程,但Bard和HuggingChat都未能满足规格要求的初始标准。研究人员又基于Bard和HuggingChat的初始提示,让它们重新生成了五次回答,但两者还是都失败了。Bard一直无法满足给定的设计规格要求,而HuggingChat的Verilog输出在模块定义之后就不符合语法规范。鉴于Bard和HuggingChat在初始的挑战基准测试中表现不佳,研究人员决定后续完整测试仅对ChatGPT-4和ChatGPT-3.5进行。与此同时,顺便让大模型进行了Testbench(测试台)的设计:你能为这个设计编写一个Verilog测试台吗?测试台应该具备自检功能,并且能够与iverilog一起用于仿真和验证。如果测试用例失败,测试台应该能够提供足够的信息,以便找到并解决错误。最终结果表明ChatGPT-4的表现较为出色。大多数基准测试都通过了,并且大部分只需要工具反馈即可。与创建可运行设计相比,ChatGPT-4在创建可运行的测试台上遇到了更多困难,往往还是需要人类的反馈意见。而与ChatGPT-4相比,ChatGPT-3.5的表现明显较差,大多数基准测试都失败了,而那些通过测试台的对话大多数也不符合规范。与ChatGPT-4相比,ChatGPT-3.5每次对话和基准测试之间会出现各种各样的问题,在设计和测试台方面需要更频繁地进行修正。ChatGPT是芯片设计中的“力量倍增器”随着大语言模型(LLM)的继续发展,未来从构想到功能设计,LLM或许都可以轻松实现。△研究人员用ChatGPT-4设计的基于累加器的数据通路(图是人绘制的),控制信号用虚线表示研究人员认为:尽管我们强调了模型的单步性能(即一步完成设计),但对于硬件应用来说,让它们以“共同设计师”的身份加入,可能会表现得更好。当与经验丰富的工程师协同工作时,它们可以成为一种“力量倍增器”。工程师可以根据模型提供的“初版设计方案”,进行微调和快速迭代。HammondPearce博士说道:这项研究成果是我们认为首次完全由人工智能生成的硬件描述语言(HDL)转化为物理芯片的案例。一些人工智能模型,比如OpenAI的ChatGPT和Google的Bard,可以生成不同编程语言的软件代码,但它们在硬件设计领域的应用尚未被广泛研究。而这项研究表明,人工智能在硬件制造方面也具有潜力,尤其是在对话式应用中,通过反复交流可以完善设计。并且,这样一来HDL编写过程中人为引起的错误就会减少,从而可缩短设计时间和上市时间,也可允许更多创造性的设计。不知一些HDL工程专家听到这里是否会略感紧张。研究人员认为如果这个过程能够实现自动化,不仅可以加快现在的工作速度,还可以减轻人为瓶颈。但是,完全依靠类似于ChatGPT这种大模型或者依赖电力运行的软件机器也存在一定的风险。用于芯片设计的LLM在训练阶段也存在难解的黑盒子等一系列问题。...PC版:https://www.cnbeta.com.tw/articles/soft/1366363.htm手机版:https://m.cnbeta.com.tw/view/1366363.htm

封面图片

Synopsys与微软联手利用AI技术打造芯片设计助手

Synopsys与微软联手利用AI技术打造芯片设计助手芯片设计是技术行业最难的任务之一,因为数十亿个晶体管(微小的开关)必须精确地排列在一块仅几厘米宽的硅片上。设计一块芯片通常需要花费数亿美元,即使拥有一支工程师大军,也需要数年时间。Synopsys是这一过程中使用的软件的最大开发商,该公司周三表示,它使用微软的AzureOpenAI系统创建了自定义版本的Copilot供其工具使用。在设计复杂芯片的早期阶段,工程师需要用一种类似软件编程代码的语言描述芯片应该如何工作。Synopsys利用其几十年来积累的大量数据对系统进行了培训,以帮助实现这一目标。Synopsys公司设计自动化部门总经理ShankarKrishnamoorthy说,首要目标是使系统准确无误。如果一个人工智能机器人"正在写散文、莎士比亚或诗歌,你可以有10%到15%的误差,而你的消费者会知道其中的差别,并仍然为之惊叹"。Krishnamoorthy说。"但如果你生成的(芯片设计工作)准确率不超过99.9%,你就会在芯片中引入一个bug,而这个bug可能让公司损失数亿美元。"修复漏洞是芯片设计过程中耗时最长、成本最高的部分之一。微软表示,它已经开始在自己的芯片设计团队中测试Synopsys系统,并在本周三推出了该公司的首款内部数据中心芯片。微软芯片设计验证和确认团队的首席工程师埃里克-伯格(ErikBerg)在一篇博客文章中说:"这项技术不仅能帮助我们尽早解决问题,还能降低后期修复问题的成本。"...PC版:https://www.cnbeta.com.tw/articles/soft/1397473.htm手机版:https://m.cnbeta.com.tw/view/1397473.htm

封面图片

今天在Producthunt发现一个AI模型Kombai可以理解Figma的界面设计并生成对应的前端代码,下面是他们官网的演示视

今天在Producthunt发现一个AI模型Kombai可以理解Figma的界面设计并生成对应的前端代码,下面是他们官网的演示视频。从演示来看生成的代码还是不错的,它的优势在于他不要求设计稿的格式、层级和是否使用组件,无论你怎么嵌套和画出的界面它都能理解。这比之前通过传统工程化思路从设计稿生成代码要强多了。之前的一些工具要不是处理不了复杂样式,要不就是对设计师的设计稿格式有非常严格的要求。同时这个模型也支持通过自然语言生成前端界面的代码。官网链接:https://kombai.com/

封面图片

NVIDIA在芯片开发过程中引入AI聊天机器人

NVIDIA在芯片开发过程中引入AI聊天机器人而且,英伟达的芯片还是业内最复杂的芯片之一,已成为推动ChatGPT等技术的核心。周一,英伟达展示了一项研究,采用所谓的大型语言模型,基于该公司的悠久历史来回答一些问题。大型语言模型即聊天机器人背后的技术,英伟达利用其在芯片设计史上30年来所积累的数据进行了训练。英伟达首席科学家BillDally向媒体表示:“事实证明,我们的许多资深设计师花费了相当多的时间来回答初级设计师的一些问题。利用聊天机器人来回答初级设计师的问题,可以为高级设计师节省大量时间。”英伟达在研究中还发现,通过添加该公司所积累的大量特定数据,一款中级聊天机器人就可以变得比高级聊天机器人更准确。英伟达表示,这可以帮助控制系统的成本。除了回答初级设计师的问题,英伟达展示的另一项功能是使用人工智能生成代码。Dally说,工程师们通常需要花费大量的时间来寻找芯片中不起作用的部分,并使用测试工具来找出原因。为了进行这项测试,人工智能系统可以快速编写一段脚本代码来操作该工具。Dally最后还强调:“我们的目标不是让流程自动化或取代员工,而是让我们现有的员工拥有超能力,让他们的工作效率更高。”...PC版:https://www.cnbeta.com.tw/articles/soft/1393311.htm手机版:https://m.cnbeta.com.tw/view/1393311.htm

封面图片

育碧展示可以自由对话的生成式 AI 游戏角色原型

育碧展示可以自由对话的生成式AI游戏角色原型育碧巴黎工作室今日在GDC2024上展示了其最新的生成式AI原型项目NEONPC,使游戏NPC能够以自发的行动和反应进行对话,而非遵循对话树中的设定。NPC并非由模型直接生成,而是游戏编剧通过对模型进行调节,使其以仓库所设想的背景故事、个性和对话风格为基础进行发展。该项目应用了英伟达的Audio2Face与Inworld的大语言模型技术。育碧表示,所有生成式AI项目的目标都是为玩家带来价值,开发过程始终以玩家和开发人员的创造力为重点。——

封面图片

丰田研究所推出生成式AI工具 帮助设计汽车外形

丰田研究所推出生成式AI工具帮助设计汽车外形此外,设计师可以通过优化定量性能指标来创建初始的原型草图。该公司表示,这一创新将使设计师能够在确保设计高效和有效的同时探索他们的创造力。丰田研究所的研究人员还发表了两篇论文,介绍了这种技术如何被纳入其他基于文本生成图像的生成式AI模型中。这些论文揭示了该工具的图像生成过程。团队将计算机辅助工程中广泛使用的优化理论原则与基于文本生成图像的生成式AI相结合。因此,该算法允许设计师在保留基于文本的风格提示的同时,优化工程约束条件,用于生成式AI的过程。增强图像生成设计师现在可以整合车辆约束条件,例如直接影响燃油效率的风阻,以及影响操控、人机工程学和安全性的底盘尺寸,以增强其图像生成。VentureBeat报道称,丰田研究所人机交互驾驶(HumanInteractiveDriving,简称HID)部门主管阿维纳什·巴拉让(AvinashBalachandran)表示:“目前的基于文本生成图像的AI工具主要关注的是在生成潜在图像时遵循设计师的基于文本的风格指导。我们的技术允许用户在生成符合设计师风格指导的图像的同时,明确地整合和优化风阻或底盘高度等工程约束条件。”巴拉让表示,这种技术可以通过更快、更高效地在美学和工程之间平衡权衡,加快新设计的创作速度。他补充说:“任何设计师都可以使用生成式AI工具获取灵感,但这些工具无法处理实际汽车设计所需的复杂工程和安全考虑。为了构建安全可靠的车辆,我们的设计必须满足工程要求。向生成式AI添加约束实际上允许用户为AI生成的设计添加导轨。”通过生成式AI优化车辆设计巴拉让透露,该项目始于大约一年半前,受到文本生成图像的生成式AI工具的进展的推动。这些工具允许用户输入提示,并生成符合提供的风格指导的图像。他解释说:“对我们的车辆设计师来说,设计过程中一个具有挑战性的部分是寻找新设计的灵感。”“他们还告诉我们,在设计和工程之间的来回迭代过程中产生一个不仅在美学上令人满意,而且具有所需工程性能和安全措施的设计是困难的。”根据巴拉让的说法,设计师和工程师通常来自不同的背景,具有不同的思维方式。因此,当设计师创建设计时,通常不能满足最初的工程要求,导致需要与工程团队进行大量的协作,才能得到最优解。这种迭代的过程,再加上设计和工程之间固有的紧张关系,导致了设计的持续时间延长。AI技术和这些工具的灵感不仅仅是为了激发创造力,还是为了缩短工程和设计之间的迭代循环。丰田表示,在与设计师进行构思会议期间,一个与他们产生共鸣的想法是“AI助手”的概念,通过利用多种多样的数据流提出新设计的建议。这激发了将生成式AI整合到一种包含多种数据流(包括工程约束条件)的工具中,以生成创新设计的想法。该公司宣布,尽管这项技术目前还处于研究阶段,但他们正在与丰田内部的团队合作,将这一工具整合到其车辆设计和开发过程中。丰田研究所表示,他们将继续研究,以提升个人和社会的生活质量。...PC版:https://www.cnbeta.com.tw/articles/soft/1367213.htm手机版:https://m.cnbeta.com.tw/view/1367213.htm

🔍 发送关键词来寻找群组、频道或视频。

启动SOSO机器人