佳能CEO:可绕过EUV量产5nm的纳米压印设备无法出口到中国

佳能CEO:可绕过EUV量产5nm的纳米压印设备无法出口到中国但是,佳能首席执行官三井藤夫在采访中表示,该设备无法出口到中国。尖端制程严重依赖EUV光刻机总部位于荷兰的ASML是目前全球最大的光刻机厂商,同时也是全球唯一的极紫外光刻设备供应商。EUV光刻机是目前世界上最先进的芯片制造设备,每台成本高达数亿美元。虽然目前在光刻机市场,还有尼康和佳能这两大供应商,但是这两家厂商的产品主要都是被用于成熟制程芯片的制造,全球市场份额仅有10%左右,ASML一家占据了90%的市场份额,并垄断了尖端的EUV光刻机的供应。目前7nm以下的先进制程芯片的大规模生产主要都是依赖于ASML的EUV光刻机,但只有少数现金充裕的公司才有能力投资购买这些EUV光刻机。即便如此,EUV光刻机仍因为其在尖端芯片制造供应链中的关键地位而一直受到出口管制审查。多年前,美国就有向其盟友——荷兰施压,要求其限制EUV设备出口到中国。今年6月30日,荷兰政府正式出台了新的半导体出口管制措施,ASML被禁止向中国客户出口EUV系统以及先进的浸没式DUV系统。这也意味着中国想要突破到5nm,甚至更尖端的制程工艺将会面临极大的困难。绕过EUV,佳能纳米压印技术可量产5nm今年10月中旬,佳能公司宣布开始销售基于“纳米压印”(Nanoprintedlithography,NIL)技术的芯片生产设备FPA-1200NZ2C。佳能表示,该设备采用不同于复杂的传统光刻技术的方案,可以制造5nm芯片。此前佳能一直专注于制造不太先进光刻机产品。直到2014年,佳能收购了MolecularImprints股份有限公司,开始押注纳米压印技术。近十年来,佳能一直在与日本光罩等半导体零组件制造商大日本印刷株式会社(DNP)和存储器芯片制造商铠侠(Kioxia)合作研发纳米压印工艺。该技术可以不使用EUV光刻机,就能使制程技术推进到5nm。佳能表示,这套生产设备的工作原理和行业领导者ASML的光刻机不同,其并不利用光学图像投影的原理将集成电路的微观结构转移到硅晶圆上,而是更类似于印刷技术,直接通过压印形成图案。相较于目前已商用化的EUV光刻技术,尽管纳米压印技术的芯片制造速度要比传统光刻方式慢,但铠侠在2021年就曾表示,纳米压印技术可大幅减少耗能,并降低设备成本。原因在于纳米压印技术的制程较为简单,耗电量可压低至EUV技术的10%,并让设备投资降低至仅有EUV设备的40%。佳能首席执行官三井藤夫在最新的采访中表示,这项新的纳米压印技术将为小型半导体制造商生产先进芯片开辟一条道路。“价格将比ASML的EUV光刻机低一位数(即仅有10%)”这位88岁的老人上一次退出日常运营是在2016年,现在是他第三次担任佳能公司总裁。他补充说,佳能尚未做出最终定价决定。另外,纳米压印设备还可以使得芯片制造商降低对于ASML的EUV光刻机的依赖,使得台积电、三星等晶圆代工厂可以有第二个路线选择,可以更灵活的为客户生产小批量芯片。甚至,芯片设计厂商可以不依赖于晶圆代工厂来自己生产小批量的芯片。因为NAND闪存的图形更为简单,因为其采取是多层几乎相同的层的堆叠,所以更容易适应基于纳米压印的技术制程。铠侠数年前就表示,已解决纳米压印的基本技术问题,正在进行量产技术的推进工作,希望能较其他竞争对手率先引入到NAND生产当中。但随后的消息显示,铠侠在对纳米压印技术进行测试之后,遭到了潜在客户提出的投诉,认为产品缺陷率较高,最后并未实际应用。另外,相对于更为复杂的,逻辑芯片来说,应用纳米压印技术的来制造还是面临着很多的挑战。不过,佳能在推动纳米技术量产NAND的同时,也致力于将纳米压印量产技术广泛的应用于制造DRAM及PC用的CPU等逻辑芯片的设备上,以在未来供应多的半导体制造商,也希望能应用于手机应用处理器等最先进制程上。据了解,佳能目前正在日本东京北部的宇都宫建造20年来第一家新的光刻设备工厂,将于2025年上线。佳能最新发布的这套纳米压印设备可以应用于最小14平方毫米的硅晶圆,从而可以生产相当于5nm工艺的芯片。佳能表示会继续改进和发展这套系统,未来有望用于生产2nm芯片。对于纳米压印技术市场前景,三井藤夫说:“我不认为纳米压印技术会超过EUV,但我相信这将创造新的机会和需求。我们已经接到了很多客户的咨询。”纳米压印设备无法出口到中国受美国及荷兰出台对于先进半导体设备的出口管制影响,国内业界对于佳能最新推出基于纳米压印技术的芯片制造设备可以绕过EUV生产5nm充满了兴趣,认为这可能会是一条能够绕过美国限制制造更先进制程芯片的路径。虽然在今年7月日本实施了新的半导体出口管制措施,限制了可以被用于先进制程的浸没式光刻机的出口,其中似乎并未新增对于限制基于纳米压印技术的光刻机的出口。但是事实上,芯智讯查阅日本的出口管制清单,当中就有限制“可实现45nm以下线宽的压印光刻装置”。佳能CEO三井藤夫也在最新的采访中表示,佳能可能无法将这些(基于纳米压印技术的)芯片制造设备出口到中国。“我的理解是,任何超过14nm技术的出口都是被禁止的,所以我认为我们无法销售。”日本经济省的一名官员表示,他无法评论出口限制将如何影响特定的公司或产品。...PC版:https://www.cnbeta.com.tw/articles/soft/1395023.htm手机版:https://m.cnbeta.com.tw/view/1395023.htm

相关推荐

封面图片

纳米压印设备可绕过EUV量产5nm!佳能CEO:不能卖到中国,也无法超越EUV

纳米压印设备可绕过EUV量产5nm!佳能CEO:不能卖到中国,也无法超越EUVhttps://www.icsmart.cn/69388/11月6日消息,据彭博社报道,佳能公司正计划将其新的基于“纳米压印”技术的芯片制造设备的价格定为ASML的EUV光刻机的1/10。由于该设备可以用于制造5nm尖端制程芯片,且不是基于光学技术,或将成为中国绕过美国限制来制造尖端制程芯片的可行方案。但是,佳能首席执行官三井藤夫在采访中表示,该设备无法出口到中国。

封面图片

佳能希望用更便宜的5纳米纳米压印光刻机挑战ASML

佳能希望用更便宜的5纳米纳米压印光刻机挑战ASML"我们希望在今年或明年......趁着市场热度开始出货。"佳能负责纳米压印光刻技术开发的工业部门负责人竹西宏明(HiroakiTakeishi)说:"这是一项非常独特的技术,它将使尖端芯片的生产变得简单而低成本。纳米压印光刻机的半导体节点宽度为5纳米,目标是最终达到2纳米。"Takeishi说,这项技术主要解决了以前的缺陷率问题,但成功与否将取决于能否说服客户将其集成到现有的制造工厂是值得的。有人怀疑佳能是否有能力扰乱由ASML昂贵但复杂的极紫外(EUV)光刻工具引领的市场。然而,如果纳米压印能以更低的成本将产量提高到近90%,它就能开辟出一片天地,尤其是在极紫外光供应难以满足激增的需求的情况下。据称,佳能的纳米压印设备成本仅为ASML设备的40%,而运行功耗却降低了90%。佳能最初专注于用此技术生产3DNAND存储器芯片,而不是复杂的处理器,因此同样需要应对限制对华销售的出口管制。Takeishi表示,佳能将"谨慎关注"制裁风险,但由于可选方案不多。佳能的纳米压印技术经过15年多的研发,如果能成功实现商业化应用,将能改变竞争格局,使新的竞争者能够以更低的成本生产领先的半导体产品。但是,新机器的缺陷率、集成挑战和地缘政治阻力能否让佳能在与芯片制造巨头的竞争中脱颖而出,还有待观察。...PC版:https://www.cnbeta.com.tw/articles/soft/1414931.htm手机版:https://m.cnbeta.com.tw/view/1414931.htm

封面图片

佳能推出纳米压印技术 挑战阿斯麦EUV霸主地位

佳能推出纳米压印技术挑战阿斯麦EUV霸主地位佳能预计,随着技术的持续进步和优化,其设备将有望实现下一代2纳米的生产水平,该公司周五在一份声明中说。与竞争对手尼康一样,佳能在EUV竞争中已经落后于阿斯麦,但其纳米压印光刻方法可能有助于公司缩小差距。佳能的设备也可能在美中贸易战中开辟一个新的战场,因为目前唯一可靠的制造5纳米及以下芯片的方法(EUV机器)被贸易制裁禁止进入中国。而日本公司的技术则完全省略了光刻过程,而是直接将所需的电路图案压印到硅片上。由于其创新性,它不太可能被现有的贸易限制所禁止。目前,佳能的发言人拒绝对新设备是否受到日本出口限制发表评论。纳米压印光刻技术长期以来承诺提供一种低成本的光刻替代方案,并曾经得到了SK海力士和ToshibaCorp等存储芯片制造商的推广。东芝的前存储部门Kioxia在佳能的纳米压印机器达到商业成熟之前就对其进行了测试。佳能现在必须证明它已经解决了过去遇到的问题,如缺陷率高等。阿斯麦是欧洲最有价值的科技公司,已经连续五个季度实现收入增长和订单激增。这家总部位于荷兰Veldhoven的公司是世界领先芯片制造商的首选EUV供应商,并预计今年净销售额将增长30%。佳能股价今年已经上涨了26%,受益于日本股市的整体上涨,以及人工智能应用带来的芯片制造设备需求增加。佳能迄今为止主要专注于用于制造较不先进芯片的产品,在2014年收购了纳米压印先驱MolecularImprintsInc.,并花了近十年时间研发该技术。作为台积电(TSM.US)的供应商,佳能正在东京北部宇都宫市建造其二十年来第一个新的光刻设备工厂,预计将于2025年投入运营。...PC版:https://www.cnbeta.com.tw/articles/soft/1389773.htm手机版:https://m.cnbeta.com.tw/view/1389773.htm

封面图片

非光刻方案,佳能开始销售 5nm 芯片生产设备

非光刻方案,佳能开始销售5nm芯片生产设备佳能(Canon)公司近日发布,开始销售芯片生产设备FPA-1200NZ2C,表示采用不同于复杂光刻技术的方案,可以制造5nm芯片。佳能表示这套生产设备的工作原理和行业领导者ASML不同,并非光刻,而更类似于印刷,没有利用图像投影的原理将集成电路的微观结构转移到硅晶圆上。这套设备可以应用于最小14平方毫米的硅晶圆,从而可以生产相当于5nm工艺的芯片。佳能表示会继续改进和发展这套系统,未来有望用于生产2nm芯片。来源,频道:@kejiqu群组:@kejiquchat

封面图片

绕开EUV光刻机 美国实现0.7nm芯片:真有那么神奇?

绕开EUV光刻机美国实现0.7nm芯片:真有那么神奇?近日,一则美国制造出了0.7纳米芯片的芯片在笔者的朋友圈传播。与此同时传播的新还有类似绕开EUV光刻机、美国打造全球分辨率最高光刻系统。这究竟是个什么新闻?从现阶段看EUV光刻机会是怎样的一个未来?让我们来还原以下这个新闻本身。PC版:https://www.cnbeta.com/articles/soft/1320915.htm手机版:https://m.cnbeta.com/view/1320915.htm

封面图片

首台28nm国产光刻机有望年底交付 华为已拿下EUV光刻专利

首台28nm国产光刻机有望年底交付华为已拿下EUV光刻专利近日有消息称,上海微电子在28nm浸没式光刻机的研发上取得重大突破,预计在2023年年底向市场交付国产的第一台SSA/800-10W光刻机设备。光刻机是决定半导体生产工艺水平高低的核心技术,包含光学系统、微电子系统、计算机系统、精密机械系统、控制系统等构件,极为复杂精密。事实上,光刻机是一个泛概念,包括三种不同类型:为前道光刻机、后道光刻机、面板光刻机。前道光刻机就是我们最常说的光刻机,主要用于晶圆制造,可分为DUV、EUV两大类,目前由荷兰阿斯麦(ASML)占绝对垄断地位,日本的尼康、佳能也有很强的实力。后道光刻机用于芯片制造后的封装,实现高性能的先进封装,技术难度相对较小。面板光刻机用于生产屏幕面板,最先进工艺只能达到55-32nm,属于低端光刻机,但因为屏幕面板相对结构简单,集成度要求不高,对于光刻机分辨率的要求也不高。上海微电子装备(集团)股份有限公司(简称SMEE)成立于2002年,定位为“富有国家使命的公司”,主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务,产品广泛应用于集成电路前道、先进封装、FPD面板、MEMS、LED、电源元件等制造领域。在最先进制程的EUV光刻机方面,我国相比于外国起步晚了50年,即使是最好的上海微电子也与国外巨头存在很大的差距。但在非最先进制程的中高端光刻机方面,上海微电子已经具有自主生产、成熟稳定的产品,有着较高的市场占有率,即将交付的SSA/800-10W光刻机就属于这一系列的最新产品。另外,上海微电子还有2.5D/3D封装光刻机,精度在0.6微米左右,和前道光刻机有差距,但依然属于世界领先水平。其实,芯片制造除了光刻,还有各种平行技术,如纳米压印等,在材料上也可能用其他的代替传统硅片作为基底,只是技术难度和成本付出是巨大的。上海微电子此前就曾公开表示,在泛半导体方面有所研究,有多个技术路线,但是具体细节不能透露。当然了,光刻机只是整个半导体制造产业链中的一环,还涉及到光刻胶、光刻气体、光源、物镜、涂胶显影、光掩膜版等诸多环节,我国也都有多家公司在积极突破,提高国产率。有数据显示,当前我国在清洗、热处理、去胶设备的国产化率分别达到34%、40%、90%,在涂胶显影、刻蚀、真空镀膜的国产化率达到10-30%,在原子层沉积、光刻、量测检测、离子注入的国产化率暂时低于5%值得一提的是,华为也在光刻机技术上积极投入,并取得了不俗成果,比如去年底就披露了名为“反射镜、光刻装置及其控制方法”的专利,涉及到EUV光刻关键技术。根据专利描述,这种反射镜、光刻装置及其控制方法涉及光学领域,能够解决相干光因形成固定的干涉图样而无法匀光的问题。反射镜的反射面包括多个微反射面,而多个微反射面中包括第一微反射面,以及与第一微反射面相邻的第二微反射面,两个微反射面之间具有高度差。...PC版:https://www.cnbeta.com.tw/articles/soft/1374325.htm手机版:https://m.cnbeta.com.tw/view/1374325.htm

🔍 发送关键词来寻找群组、频道或视频。

启动SOSO机器人