消息称 ASML将在未来几个月推出 2nm 制造设备,英特尔已采购六台

消息称ASML将在未来几个月推出2nm制造设备,英特尔已采购六台据SamMobile报道,ASML将于未来几个月内推出2nm制程节点制造设备,最新设备将集光能力从0.33提高到0.55,这将使芯片制造商能够使用超精细图案化技术来制造2nm节点芯片。ASML计划在明年生产10台2nm设备,英特尔据说已采购其中6台。未来几年ASML计划将此类芯片制造设备产能提高到每年20台。——

相关推荐

封面图片

英特尔包揽ASML High-NA EUV初期产能

英特尔包揽ASMLHigh-NAEUV初期产能TheElec获悉,ASML截至明年上半年的高数值孔径EUV(High-NAEUV)设备订单由英特尔全部包揽,因此三星和SK海力士明年下半年后才能获得设备。消息人士称,ASML的高数值孔径EUV设备产能每年约为五至六台,这意味着英特尔将获得所有初始产能。他们还表示,英特尔在宣布重新进入芯片代工业务时抢先购买了这些设备。ASML的高数值孔径EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元。——

封面图片

消息称英特尔包揽 ASML High-NA EUV 初期产能 单台成本超 26 亿元

消息称英特尔包揽ASMLHigh-NAEUV初期产能单台成本超26亿元ASML截至2025上半年的高数值孔径EUV(High-NAEUV)设备订单由英特尔全部包揽,因此三星和SK海力士2025下半年后才能获得设备。消息人士称,ASML的高数值孔径EUV设备产能每年约为五至六台,这意味着英特尔将获得所有初始产能。他们还表示,英特尔在宣布重新进入芯片代工业务时抢先购买了这些设备。ASML的高数值孔径EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元(当前约26.47亿元人民币)。

封面图片

消息称ASML将在未来几个月推出2nm制造设备英特尔已采购6台据报道,ASML将于未来几个月内推出2nm制程节点制造设备,并计划

封面图片

英特尔明年跃居晶圆代工亚军 1.8nm逆袭友商2nm工艺

英特尔明年跃居晶圆代工亚军1.8nm逆袭友商2nm工艺在这方面,英特尔的变化犹如当年AMD拆分晶圆制造业务后成立格芯一样,不同的是AMD将这部分业务独立之后卖掉了,股份也逐渐清空,现在的AMD跟格芯只有合作关系。英特尔有所不同,拆分晶圆制造业务了,但没有卖掉,依然是自己的左膀右臂,因此是IDM2.0模式,英特尔希望分出来的IFS代工部门既能接自己的订单,也能接外界的订单,跟台积电、三星抢市场。英特尔的模式有点类似现在的三星,半导体制造业务也是内外订单都做,正因为此英特尔CFO指出2024年英特尔的IFS代工业务能实现200亿美元的营收,明年直接就超越三星成为晶圆代工市场的亚军了。因为拆分之后最大的客户就是英特尔自己的设计部门,虽然这样算难免有左手倒右手之嫌,但三星之前也是这样做的。除了代工模式的转变之外,英特尔这次转型还特别强调了先进工艺的逆袭,他们的官方PPT中还对比了过去多年中工艺上的变化。简单来说,在32nm到14nm节点中英特尔是领先台积电的,但在10m之后台积电翻身了,之后的Intel4、Intel3工艺中,英特尔也很诚实地表示落后于台积电的5nm、3nm,甚至20A工艺也要落后,但是18A工艺将会领先台积电的2nm工艺。这个18A工艺等效于1.8nm工艺,是20A的改进版,也是英特尔4年搞定5代CPU工艺中最关键的一环,接下来先进工艺代工就靠它了。让市场失望的一点就是英特尔这次没有如传闻的那样公布代工客户名单,1.8nm工艺之前感兴趣的厂商不少,包括Arm、高通、英伟达等,甚至还收到了英特尔的测试样片,但是确定采用该工艺代工的客户还没定下来,市场还在观望英特尔的表现。...PC版:https://www.cnbeta.com.tw/articles/soft/1367043.htm手机版:https://m.cnbeta.com.tw/view/1367043.htm

封面图片

消息称阿斯麦将在未来几个月推出2nm制造设备英特尔已采购6台消息称,阿斯麦(ASML)将于未来几个月内推出2nm制程节点制造设备

封面图片

2nm决战2025

2nm决战2025争先恐后来看看“不约而同”的2nm时间轴进程。作为行业老大,台积电称将如期在2025年上线2nm工艺,2025年下半年进入量产。2nm可谓是台积电的一个重大节点,该工艺将采用纳米片晶体管(Nanosheet),取代FinFET,意味着台积电工艺正式进入GAA时代。有报道称,台积电在前不久已开始了2nm工艺的预生产,英伟达和苹果有望成为首发客户。一直在“坐二望一”的三星在3nm率先以GAA开局,在2nm层面自然也志在必得:在其最新公布的第二季度财报中表示,2nmGAA的开发已步入正轨并进展顺利。在之前三星也公布了2nm量产的具体时间表:自2025年起首先将该技术用于移动终端;到2026年将适用于采用背面供电技术的高性能计算;2027年将其用途扩至汽车芯片。反观英特尔亦快马加鞭。自宣布实施IDM2.0战略以来,英特尔不遗余力四面出击,着力向“四年五个制程节点”的目标迈进,其中Intel20A和Intel18A分别对应2nm和1.8nm制程,英特尔对此寄予厚望,激进宣布Intel20A计划于2024年上半年投入使用,进展良好的Intel18A也将提前至2024年下半年进入大批量制造,在时间上誓要先发制人。作为后来者,承载日本代工业复兴大计的Rapidus亦不甘示弱,前不久公布了最新的生产计划,预计将在2025年试产2nm,采用IBM2nmGAA技术,目标是2027年大规模量产。2025年,或将开启2nm的“华山论剑”大戏。不过业内人士许然(化名)对集微网表示,谁家率先量产不是最重要的,就如三星率先量产3nm,但首先只是在挖矿芯片上采用,意义不太大,而且每家的2nm也涉及物理尺寸的不同,不能一概而论。反超机会?瞄准2nm决战,对于三星还是英特尔来说的重要性还在于,他们均将2nm工艺视为其超越竞争对手并重返先进制程领先地位的关键。是什么给了他们底气?从三星来看,由于率先3nm制程中采用GAA架构,在GAA用于先进制程方面拥有了率先量产和磨合的先发优势。此外,三星还开发了MBCFET晶体管专利技术,为其2nm工艺竞争力再添筹码。三星表示,与7nmFinFET相比,MBCFET可将功耗降低50%,性能提高30%,并将晶体管占用面积减少45%,提供了卓越的设计灵活性。如果说每一代工艺有每一代的“绝活”,那么无疑背面供电(BSPDN)技术将是影响2nm对决之势的一大因素,据称,与FSPDN前端供电网络相比,BSPDN的性能提高了44%,能效提高了30%,三大巨头也纷纷排兵布阵。英特尔在这一技术层面看似先行破发。不仅将在Intel20A制程率先采用RibbonFET架构(相当于GAA架构),还将结合另一突破性技术背面供电PowerVia,这对晶体管微缩至关重要,可解决日益严重的互连挑战,提升芯片性能和能效。通过两大技术的“联合”,英特尔认为这将是新的FinFET时刻——参考英特尔2012年在22nm引入FinFET的荣光。值得一提的是,英特尔在第二季度宣布率先在产品级测试芯片上已实现PowerVia,相比台积电和三星领先两年,将为英特尔的反超提供巨大的优势。有消息称,台积电计划在2026年推出N2P工艺,这一工艺将采用背面供电技术,而且三星也将在2nm工艺采用BSPDN技术。相较之下,2nm是台积电首次从FinFET转至GAA,在架构迁移上相当于“落后”于三星。尽管台积电宣称,已在N2硅的良率和性能方面都取得了“扎实的进展”,但业内也有质疑说台积电的2nmGAA工艺有良率“翻车”的风险。前知名分析师陆行之在媒体直言,如果台积电研发速度太慢,2nm再跟3nm一样,离5nm间隔3~4年,就很可能被超车,并称“一些设备商比较看好英特尔2nm/1.8nm进度,台积电内部也挺紧张的,到处打探消息”,但他同时也认为台积电有强大的执行力。饶是如此,台积电的综合实力依旧不容小觑。以赛亚调研(IsaiahResearch)认为,台积电和三星有更大的机会率先实现2nm量产,因为这两大巨头过去在先进制程的良率和量产方面表现相对出色。对此集微咨询也分析,台积电和三星在先进工艺技术领域一步一个脚印,积累更全面、更扎实,向2nm推进过程中相对率先实现的概率更高。英特尔虽实现了7nm,但在5nm和3nm节点层面尚需积累量产和磨合经验,直接跳至2nm扭转局面仍面临一定挑战。先进封装的X因素看起来2nm是工艺的决战,但其实先进封装的重要性已然不可忽视。先进封装与制程工艺可谓相辅相成,其在提高芯片集成度、加强互联、性能优化的过程中扮演了重要角色,成为助力系统性能持续提升的重要保障。为在工艺节点获得更大的赢面,押注先进封装已成为三大巨头的“显性”选择。近些年来,英特尔、三星和台积电一直在稳步投资先进封装技术,各自表现也可圈可点。综合来看,在先进封装领域,台积电的领先地位依旧凸显。据了解,台积电在先进封装上已获得了可观的收入体量,技术布局也进入关键节点,未来投入规模将持续加码。尤其是在AI产能需求持续升级之下,台积电正积极扩充第六代2.5D先进封装技术CoWoS产能,将投资约28亿美元打造先进封装厂,预计2026年底建厂完成、2027年第三季开始量产,月产能达11万片12英寸晶圆,涵盖SoIC、InFO以及CoWoS等先进封装技术。半导体知名专家莫大康就表示,台积电在CoWoS的产能大增,将十分有利于其争取2nm讨单。而时刻保持“两手抓”,也让台积电的护城河愈加深厚。英特尔也不逞多让。通过多年技术探索,相继推出了EMIB、Foveros和Co-EMIB等多种先进封装技术,在互连密度、功率效率和可扩展性三个方面持续精进。在今年5月,英特尔发布了先进封装技术蓝图,计划将传统基板转为更为先进的玻璃材质基板,以实现新的超越。而且,英特尔也在布局硅光模块中的CPO(共封装光学)技术,以优化算力成本。在先进封装领域,英特尔或可与台积电同台竞技。三星自然也紧追不舍。针对2.5D封装,三星推出的I-Cube封装技术可与台积电CoWoS相抗衡;3DIC技术方面,三星2020年推出X-Cube封装。此外,三星计划在2024年量产可处理比普通凸块更多数据的X-Cube封装技术,并预计2026年推出比X-Cube处理更多数据的无凸块型封装技术。对此许然认为,三星在2.5D先进封装方面虽已布局多年,但是前道代工业务较弱,在一定程度上影响了其先进封装业务的进展,客户相对较少。不过随着台积电CoWoS短期内难以满足客户需求,三星有希望能接到部分订单,而且它还拥有唯一拥有从存储器、处理器芯片的设计、制造到先进封装业务组合的优势。以赛亚调研指出,在先进封装领域,目前更加强调的是异构芯片的整合能力。例如,MI300封装将3nmGPU与5nmCPU芯片整合在一块,这种整合能力对于提高芯片性能和效能至关重要。因而,未来的比拼也将围绕这一能力展开。全面考验尽管看似巨头们各有伯仲,但2nm的考验绝不止首发那么简单。莫大康提及,尽管上述巨头技术进阶的路径基本相同,且都采用ASML的高NA光刻机,但无论是良率、客户粘性和服务均将影响2nm量产的进程。以赛亚调研也提及,各家厂商的量产进程受到多种因素的影响,包括技术难度、资金投入、设备与材料支持等。“根据目前的评估,台积电与三星将继续是2nm制程的主要代工厂商,因在先进制程的良率和量产规模方面表现出色。英特尔在技术研发方面虽具有一定的优势,但其晶圆代工主要专注于自家产品,对外部客户的合作较为有限,这对突破先进制程的良率和量产稳定性带来了挑战。而日本Rapidus虽拥有强大的研发资源,但主要专注在AI及超级计算机等相关产品,以在日本建立自己的先进工艺供应链、服务日本客户为优先,经济规模的量产还在其次。”以赛亚调研详细解读...PC版:https://www.cnbeta.com.tw/articles/soft/1375035.htm手机版:https://m.cnbeta.com.tw/view/1375035.htm

🔍 发送关键词来寻找群组、频道或视频。

启动SOSO机器人