英特尔描绘了到2030年实现万亿级晶体管芯片设计的路线图

英特尔描绘了到2030年实现万亿级晶体管芯片设计的路线图今年早些时候,NVIDIA的黄仁勋在4000系列发布会的问答环节中再次宣布摩尔定律已死。这一预测与他在2017年北京GPU技术大会上的类似声明相呼应。该公司提交的2023年IEDM研究报告强调了几种工艺、材料和技术,可以帮助这家半导体巨头支持他们之前关于到2030年交付基于芯片的万亿晶体管处理器。英特尔的新晶体管和封装技术研究主要集中在推进CPU的性能和效率,缩小传统单片处理器和基于芯片的新设计之间的距离。提交的材料中提出的一些概念包括:大大减少小芯片之间的间隙以提高性能,即使在失去电源后也能保持其状态的非易失性晶体管,以及新的可堆叠存储器解决方案。英特尔副总裁兼元件研究(CR)和设计启用部总经理加里·巴顿说:"自晶体管发明以来的75年里,推动摩尔定律的创新继续解决世界上成倍增长的计算需求。在IEDM2022上,英特尔正在展示突破当前和未来的障碍所需的前瞻性思维和具体的研究进展,满足这种永不满足的需求,并在未来几年保持摩尔定律的活力。"CR小组的研究已经确定了新的工艺和材料,对推动公司接近其万亿晶体管的里程碑至关重要。该公司最新的混合键合研究显示,与前一年的报告相比有10倍的改进。英特尔提交的材料所展示的其他研究包括使用厚度不超过三个原子的新型材料的设计,可以垂直放置在晶体管上方的存储器,以及对可能对量子数据存储和检索产生负面影响的接口缺陷的更多了解。英特尔的元件研究小组是公司内部开发新的和突破性技术的领导者。部件研究组的工程师们发明和开发新的材料和方法,支持半导体制造商在持续的战斗中把技术缩小到原子尺度。该小组负责英特尔的极紫外光刻(EUV)技术,该技术对于英特尔继续缩小节点尺寸同时提高整体半导体能力是不可或缺的。该小组的工作和时间表通常比商业上可用的技术领先5到10年。...PC版:https://www.cnbeta.com.tw/articles/soft/1334351.htm手机版:https://m.cnbeta.com.tw/view/1334351.htm

相关推荐

封面图片

英特尔展示下一代晶体管微缩技术突破,将用于未来制程节点

英特尔展示下一代晶体管微缩技术突破,将用于未来制程节点https://www.c114.com.cn/news/138/a1250622.htmlhttps://www.intel.com/content/www/us/en/newsroom/news/research-advancements-extend-moore-law.html(英文)在IEDM2023上,英特尔组件研究团队同样展示了其在技术创新上的持续投入,以在实现性能提升的同时,在硅上集成更多晶体管。研究人员确定了所需的关键研发领域,旨在通过高效堆叠晶体管继续实现微缩。结合背面供电和背面触点,这些技术将意味着晶体管架构技术的重大进步。随着背面供电技术的完善和新型2D通道材料的采用,英特尔正致力于继续推进摩尔定律,在2030年前实现在单个封装内集成一万亿个晶体管。———什么flag

封面图片

英特尔3D堆叠式CMOS晶体管将背面电源和直接背面接触相结合

英特尔3D堆叠式CMOS晶体管将背面电源和直接背面接触相结合"随着我们进入埃米时代,并在四年内走过五个工艺节点,持续创新比以往任何时候都更加重要。在IEDM2023上,英特尔展示了其在研究方面取得的进展,这些进展推动了摩尔定律的发展,凸显了我们有能力为下一代移动计算带来领先的技术,从而实现进一步扩展和高效的功率交付。"英特尔高级副总裁兼元器件研究部总经理桑杰-纳塔拉詹(SanjayNatarajan)为何重要?晶体管扩展和背面功率是帮助满足对更强大计算能力的指数级增长需求的关键。年复一年,英特尔满足了这一计算需求,表明其创新将继续推动半导体行业的发展,并继续成为摩尔定律的基石。英特尔的元件研究小组通过堆叠晶体管不断突破工程极限,将背面功率提升到新的水平,从而实现更多的晶体管扩展和更高的性能,并证明不同材料制成的晶体管可以集成在同一晶圆上。左图显示的是电源线和信号线在晶圆顶部混合在一起的设计。右图显示的是新的PowerVia技术,这是英特尔在业界首次采用的独特的背面电源传输网络。PowerVia是在2021年7月26日举行的"英特尔加速"活动上推出的。在这次活动中,英特尔展示了公司未来的工艺和封装技术路线图。(图片来源:英特尔公司)最近公布的工艺技术路线图强调了公司在持续扩展方面的创新,包括PowerVia背面电源、用于高级封装的玻璃基板和FoverosDirect,这些技术都源于元器件研究部门,预计将在本十年内投入生产。在IEDM2023上,英特尔元件研究部展示了其致力于创新的决心,即在硅片上安装更多晶体管,同时实现更高的性能。研究人员已经确定了通过有效堆叠晶体管继续扩大规模所需的关键研发领域。结合背面电源和背面触点,这些将是晶体管架构技术的重大进步。在改进背面电源传输和采用新型二维沟道材料的同时,英特尔正致力于到2030年将摩尔定律扩展到一万亿个晶体管封装。英特尔在IEDM2023上展示的最新晶体管研究成果能够以低至60纳米的栅极间距垂直堆叠互补场效应晶体管(CFET)。通过堆叠晶体管,可实现面积效率和性能优势。它还与背面电源和直接背面接触相结合。它彰显了英特尔在全栅极晶体管领域的领先地位,展示了公司超越RibbonFET的创新能力,使其在竞争中处于领先地位。英特尔在四年内走过了五个工艺节点,并确定了所需的关键研发领域,以继续扩展具有背面功率传输功能的晶体管:英特尔的PowerVia将于2024年完成制造,这将是首次实现背面功率传输。在IEDM2023上,元器件研究部确定了在PowerVia之后扩展和扩大背面功率传输的途径,以及实现这些途径所需的关键工艺进步。此外,这项工作还强调了背面触点和其他新型垂直互连的使用,以实现面积效率高的器件堆叠。...PC版:https://www.cnbeta.com.tw/articles/soft/1403367.htm手机版:https://m.cnbeta.com.tw/view/1403367.htm

封面图片

英特尔CEO基辛格:摩尔定律未来十年依然有效 希望2030年一个芯片能有1万亿晶体管

英特尔CEO基辛格:摩尔定律未来十年依然有效希望2030年一个芯片能有1万亿晶体管9月28日凌晨消息,在2022英特尔on技术创新峰会上,英特尔CEO帕特·基辛格重申英特尔对开放生态系统的坚定信念——在未来的技术上进行开放式创新,提供选择,帮助推动行业形成标准,并提供可以信赖的解决方案。PC版:https://www.cnbeta.com/articles/soft/1321415.htm手机版:https://m.cnbeta.com/view/1321415.htm

封面图片

英特尔继续推进摩尔定律:芯片背面供电,突破互连瓶颈

英特尔继续推进摩尔定律:芯片背面供电,突破互连瓶颈据澎湃新闻,12月9日,英特尔在IEDM2023(2023IEEE国际电子器件会议)上展示了使用背面电源触点将晶体管缩小到1纳米及以上范围的关键技术。英特尔表示将在2030年前实现在单个封装内集成1万亿个晶体管。英特尔表示,其将继续推进摩尔定律的研究进展,包括背面供电和直接背面触点(directbacksidecontacts)的3D堆叠CMOS晶体管,背面供电研发突破的扩展路径(如背面触点),并在同一块300毫米晶圆上(而非封装)中实现硅晶体管与氮化镓(GaN)晶体管的大规模单片3D集成。

封面图片

Intel预告万亿晶体管芯片时代:FinFET将被淘汰

Intel预告万亿晶体管芯片时代:FinFET将被淘汰过去50多年来,半导体行业都深受摩尔定律的影响,这一黄金定律引领着芯片技术的进步,不过近年来摩尔定律也被认为落伍了,作为铁杆捍卫者的Intel现在站出来表示摩尔定律没死,2030年芯片密度就提升到1万亿晶体管,是目前的10倍。在上周的Hotchips2022会议上,IntelCEO基辛格做了主题演讲,他提到先进封装技术将推动摩尔定律发展,将发展出SystemonPackage,简称SOP,芯片制造厂提供的不再是单一的晶圆生产,而是完整的系统级服务,包括晶圆生产、先进封装及整合在一起的软件技术等。根据基辛格所说,目前的芯片最多大概有1000亿晶体管,未来SOP技术发展之后,到2030年芯片的密度将提升到1万亿晶体管,是目前的10倍。不过要想实现10倍的晶体管密度提升,还要有技术突破,目前在用的FinFET晶体管技术已经到了极限,Intel将会在2024年量产的20A工艺上放弃FinFET技术,转向RibbonFET及PowerVIA等下一代技术。根据Intel所说,RibbonFET是Intel对GateAllAround晶体管的实现,它将成为公司自2011年率先推出FinFET以来的首个全新晶体管架构。该技术加快了晶体管开关速度,同时实现与多鳍结构相同的驱动电流,但占用的空间更小。PowerVia是Intel独有的、业界首个背面电能传输网络,通过消除晶圆正面供电布线需求来优化信号传输。PC版:https://www.cnbeta.com/articles/soft/1309749.htm手机版:https://m.cnbeta.com/view/1309749.htm

封面图片

台积电规划1nm芯片制造工艺,计划到 2030 年实现 1 万亿晶体管的单个芯片封装

台积电规划1nm芯片制造工艺,计划到2030年实现1万亿晶体管的单个芯片封装据Tom'sHardware 报道,在本月举行的IEDM2023会议上,台积电制定了提供包含1万亿个晶体管的芯片封装路线,这一计划与英特尔去年透露的规划类似。当然,1万亿晶体管是来自单个芯片封装上的3D封装小芯片集合,但台积电也在致力于开发单个芯片2000亿晶体管。为了实现这一目标,该公司重申正在致力于2nm级N2和N2P生产节点,以及1.4nm级A14和1nm级A10制造工艺,预计将于2030年完成。——,

🔍 发送关键词来寻找群组、频道或视频。

启动SOSO机器人