芯片未来,靠他们了

芯片未来,靠他们了这是前所未有的——将电源互连与信号传输分开。作为一名芯片设计师,我可以告诉你,这对整个行业来说是一件大事。要了解全貌,我们先从晶体管开始。晶体管的演进所有现代计算机芯片都是由晶体管(可以打开和关闭的微型电子开关)组成的。这就是经典平面晶体管的外观。它包含一个栅极、一个源极和一个漏极,排列在一个二维平面上。该设备由栅极控制,当我们对栅极施加一定的电压,或者更具体地说,一定的电场时,它就会打开栅极,电流从源极流向漏极。随着平面晶体管的尺寸不断缩小,晶体管的尺寸也随之缩小,特别是沟道的尺寸。我们面临许多问题,漏电问题只是其中之一。最终的解决方案是彻底改变晶体管——从平面2D晶体管变为三维FinFET晶体管。基本上,他们采用平面晶体管,并将沟道向上拉伸为垂直鳍片。平面晶体管的导电沟道只在表面,而FinFET的导电沟道在三面,栅极则环绕在导电沟道周围。与原始平面晶体管相比,FinFET更紧凑,因此使用FinFET,我们现在能够在同一硅片上封装更多晶体管。2011年,英特尔推出了首款商用FinFET器件,当时我还在读大学。英特尔推出首款FinFET器件几年后,三星和台积电开始生产16nm和14nmFinFET芯片。从那时起,台积电一直引领着FinFET的发展。如今,所有尖端芯片都采用FinFET制造。例如,最新的AMD和Apple芯片采用的是5nm或3nmFinFET技术。环绕栅极然而,就我们可以微缩多少、鳍片可以达到多高以及可以并排放置多少个鳍片而言,FinFET技术已经达到了极限。高漏电再次成为一个巨大的问题。因此,为了进一步缩小晶体管并降低成本,整个行业现在正在转向新的环绕栅极(GAA)晶体管技术。我已经谈论它好几年了,但它终于要投入量产了。台积电将在其N2工艺节点上转向GAA技术。他们称之为“纳米片晶体管”,但从本质上讲,它本质上是同一件事,只是同一概念的另一个术语。台积电计划在2025年初开始生产基于GAA技术的芯片,首批芯片预计将出现在iPhone上。基本上,他们采用了FinFET结构并将其水平放置,将几片这样的薄片叠放在一起,这样我们就可以垂直增加鳍片的数量。最好的部分是栅极完全包裹在沟道周围,使我们能够更好地控制它。通过这项创新,我们可以略微降低工作电压并显著降低漏电流。这将使我们的速度和晶体管密度提高约15%,但这项技术最大的好处是功率效率。GAA晶体管的功耗比FinFET技术低35%,这是一个巨大的进步。这对于移动芯片等应用至关重要,因为它可以显着延长电池寿命,或者对于通常非常密集且耗电的AI或HPC应用来说也是如此。背面供电本月初,台积电在其路线图中首次展示了A16技术,其中的“A”代表埃。台积电的A16技术将基于纳米片晶体管,但有一个非常有趣的变化——背面供电。这项创新将在电源效率方面带来翻天覆地的变化——让我来解释一下。自从罗伯特·诺伊斯制造出第一个集成电路以来,所有东西都位于晶圆的顶部,即正面,所有信号互连和电源传输都来自正面。背面供电是一个巨大的变化,因为我们将把电源线移到基板下方,从而腾出更多空间用于顶部布线。你知道,现代芯片中有数十亿个晶体管相互连接;因此芯片上有许多层级的信号互连。同时,顶部有一个电源网格,它是一个电源线和地线网络,用于在半导体芯片上分配电力并为晶体管提供电源。目前,所有的互连和供电都来自顶部的不同金属层。现在想象一下,当我们将所有电源移到背面时,这将大大降低布线的复杂性,让我们能够更密集地放置和布线晶体管并改善拥塞。这种将电源与信号分离的概念将为布线电子设计自动化(EDA)工具提供更多自由。这一变化不仅会影响制造流程,还会影响芯片设计本身。整个流程需要大量学习,尤其是在电源网格和散热方面。台积电将于2026年开始生产基于A16技术的芯片。我非常期待看到它的进展。当然,台积电并不是唯一一家致力于这项创新的公司。英特尔也在努力通过背面供电和其他升级来重新夺回其在芯片制造竞赛中的地位。英特尔的“登月计划”我想花点时间讨论一下英特尔的野心,因为这个故事有几个有趣的方面。过去五年来,英特尔在先进芯片制造方面一直落后于台积电和三星。但现在,他们计划成为第一个甚至领先于台积电将新晶体管和电力输送技术投入生产的公司。对于英特尔来说,GAA技术和背面供电正在20A工艺节点中融合。他们现在正在对其进行最后的润色。这个20A节点对英特尔至关重要。这对英特尔来说是一个冒险的举动,因为通常情况下,你会希望逐一引入创新,以了解问题出在哪里。同时引入两项新技术意味着英特尔正在“全力以赴”。这对英特尔来说显然是一个“登月计划”,风险很大,因为可能性成倍增加。有趣的是,过去英特尔比较保守,而台积电则比较冒险。这一次,情况正好相反。英特尔需要获得大买家才能达到高产量,实现经济效益,因为芯片制造依赖于规模经济。2021年,英特尔首席执行官帕特·基辛格(PatGelsinger)向投资者和客户承诺,四年内实现五个节点。这次他们必须兑现承诺。他们目前已在生产英特尔4和英特尔3FinFET技术,并计划在2024年底前量产英特尔20A。ArrowLake将成为首款采用GAA(他们称之为RibbonFET)晶体管和背面供电的英特尔CPU,英特尔称之为PowerVia。一、英特尔14A和新HighNAEUV英特尔路线图上最有趣的里程碑是计划于2027年推出的14A工艺节点。这涉及一项重大更新:使用ASML的新型高NAEUV光刻机,每台成本为3.8亿美元。这会带来很大的风险。除了与新工具相关的风险外,HighNA的经济效益到目前为止还没有奏效。在台积电和英特尔争夺3nm以下节点的竞争中,关键在于谁能率先以最低成本生产出高良率的产品。高NAEUV机器在经济上尚不可行,每片晶圆的价格很高。这就是台积电暂时放弃这台机器的原因。二、直接自组装(DirectSelf-Assembly)目前,使用HihgNAEUV机器,光刻工艺每片晶圆需要更多时间。这限制了晶圆厂的产量并推高了成本。为了实现经济效益,英特尔计划使用直接自组装。简而言之,晶圆上覆盖有PMMA(polymethylmethacrylate)并烘烤。在此过程中,聚合物材料自组织成细小的线条。研究表明,EUV机器可以帮助引导晶圆上的这一过程。然而,由于缺陷率高,这种方法至少已经处于研究阶段十年了。在英特尔激进的同时,台积电也不甘人后。台积电成功做出CFET台积电资深副总暨副共同营运长张晓强今日在台积电技术论坛宣布,台积电已成功整合不同晶体管架构,在实验室做出CFET(互补式场效晶体管),虽然他未透露未来会导入在哪个制程,但指出继CFET可预见导入先进逻辑制程,下世代先进逻辑制程,台积电研发部门仍寻求导入新材料,实现让单一逻辑芯片放入比现有逾2000亿颗还更多的晶体管,推动半导体技术持续创新。张晓强强调,这是他投入半导体领域20多年来最令他感到兴奋的时候,正如另一副共同营运长侯永清指出,半导体黄金时刻已到来,而未来AI芯片发展,接近99%将靠台积电先进逻辑技术和先进封装支持,而台积电技术创新,已可看到未来在技术持续推进下,发挥芯片更高的效能及更优异能耗表现。他表示,台积电在2纳米基础下,全球首创的A16纳米制程技术,搭配独家开发的超级电轨(即晶背供电)技术,让产出的芯片在相同速度下效能比2纳米再高出8~10%,在相...PC版:https://www.cnbeta.com.tw/articles/soft/1432178.htm手机版:https://m.cnbeta.com.tw/view/1432178.htm

相关推荐

封面图片

台积电规划1nm芯片制造工艺,计划到 2030 年实现 1 万亿晶体管的单个芯片封装

台积电规划1nm芯片制造工艺,计划到2030年实现1万亿晶体管的单个芯片封装据Tom'sHardware 报道,在本月举行的IEDM2023会议上,台积电制定了提供包含1万亿个晶体管的芯片封装路线,这一计划与英特尔去年透露的规划类似。当然,1万亿晶体管是来自单个芯片封装上的3D封装小芯片集合,但台积电也在致力于开发单个芯片2000亿晶体管。为了实现这一目标,该公司重申正在致力于2nm级N2和N2P生产节点,以及1.4nm级A14和1nm级A10制造工艺,预计将于2030年完成。——,

封面图片

AMD与三星将联手开发先进的3纳米芯片

AMD与三星将联手开发先进的3纳米芯片相比之下,三星为客户提供了使用更新的全周栅极(GAAFET)晶体管的能力,这种晶体管可以让芯片设计人员改善产品内部的电力流动,但也有一些缺点。据《韩国经济日报》报道,三星和AMD预计将深化合作,利用3纳米工艺技术生产下一代芯片。目前,由于只有苹果公司的Mac系列使用台湾台积电生产的芯片,因此大多数个人电脑都无法使用这种技术生产的芯片。三星的3纳米与台积电的3纳米不同,因为它使用的是栅极环绕(GAAFET)晶体管。GAAFET是一种升级版晶体管设计,优于FinFET,它允许设计人员改进电流量,因为改进后晶体管的沟道可以完全被栅极环绕。GAAFET晶体管使用纳米线或纳米片导电。这些都需要对导线或薄片进行权衡。虽然导线提高了效率,但其较小的面积限制了它们在某些产品(如应用处理器)中的应用。另一方面,纳米片允许更多电流流过,但传导效率却有所降低。三星代工厂的图表显示了晶体管从FinFET到GAAFET再到MBCFET的演变过程。图片:三星电子报道援引AMD首席执行官苏姿丰(LisaSu)最近在比利时举行的一次会议上分享的GAAFET晶体管优于FinFET晶体管的观点,证明两家公司有意深化合作关系。据《韩国经济日报》报道,苏姿丰介绍了她的公司采用全方位栅极技术批量生产AMD下一代产品的计划。由于三星是世界上唯一一家生产3纳米GAAFET产品的公司,分析家们认为,苏的评论是这家韩国公司生产AMD新芯片的线索,他还认为3纳米GAAFET在性能和效率方面都优于以前的技术。合同半导体制造行业目前的态势是三星和英特尔与台积电对峙。台积电在市场上占据主导地位,而它的两个大型竞争对手正忙于采用新技术,以确保在实力雄厚的竞争对手面前取得优势。英特尔正在研究名为高NAEUV的先进芯片制造设备,看能否降低制造成本和复杂性。另一方面,三星不仅比台积电更早开始生产3纳米产品,还在其产品路线图中更早引入先进的GAAFET晶体管,试图从台湾公司手中夺走3纳米产品的市场份额。另一方面,台积电多年来一直强调可以使用传统的EUV机器制造芯片,并宣布将在其2纳米工艺中改用纳米片晶体管。栅极周围晶体管也是更小特征尺寸的结果,因为这些晶体管越小,制造商在制造FinFET时就越困难。...PC版:https://www.cnbeta.com.tw/articles/soft/1432808.htm手机版:https://m.cnbeta.com.tw/view/1432808.htm

封面图片

业内人士:台积电获得多家芯片供应商的3nm订单承诺

业内人士:台积电获得多家芯片供应商的3nm订单承诺8月19日,据DIGITIMES报道,IC设计公司的消息人士透露,尽管竞争对手三星电子积极争夺3nm芯片订单,但台积电继续从苹果和英特尔等供应商那里获得3nm芯片订单承诺。消息人士表示,三星正在努力扩大其3nm客户组合,但尚未取得重大进展。对于台积电的3nm客户而言,从台积电转移订单可能会带来高昂的成本。台积电的3nm工艺仍将采用FinFET晶体管的结构,而三星的3nm节点采用GAA晶体管架构。三星甚至领先于台积电,将3nm工艺技术转向量产。不过,消息人士指出,AMD、苹果、博通、英特尔、联发科、英伟达和高通等厂商均已向台积电下达3nm芯片订单。但三星的3nmGAA工艺尚未吸引主要芯片供应商的订单。消息人士称,鉴于无晶圆厂供应商的供应商多元化战略,以及对其与三星移动部门业务的其他考虑,高通被视为三星3nmGAA工艺最有可能的客户。(校对/武守哲)...PC版:https://www.cnbeta.com/articles/soft/1306299.htm手机版:https://m.cnbeta.com/view/1306299.htm

封面图片

英特尔继续推进摩尔定律:芯片背面供电,突破互连瓶颈

英特尔继续推进摩尔定律:芯片背面供电,突破互连瓶颈据澎湃新闻,12月9日,英特尔在IEDM2023(2023IEEE国际电子器件会议)上展示了使用背面电源触点将晶体管缩小到1纳米及以上范围的关键技术。英特尔表示将在2030年前实现在单个封装内集成1万亿个晶体管。英特尔表示,其将继续推进摩尔定律的研究进展,包括背面供电和直接背面触点(directbacksidecontacts)的3D堆叠CMOS晶体管,背面供电研发突破的扩展路径(如背面触点),并在同一块300毫米晶圆上(而非封装)中实现硅晶体管与氮化镓(GaN)晶体管的大规模单片3D集成。

封面图片

全力押注18A工艺节点 率先接收新型极紫外光刻机 英特尔即将领先台积电?

全力押注18A工艺节点率先接收新型极紫外光刻机英特尔即将领先台积电?目前,台积电和三星代工都在出货3nm芯片,明年下半年,两家公司都可能量产2nm芯片。据MotleyFool报道,今年晚些时候,英特尔将使用其20A工艺(相当于台积电和三星代工厂的2纳米),该工艺将用于制造英特尔的ArrowLakePC芯片。因此,到那时,英特尔将拥有工艺领先地位,并且只有在明年英特尔推出其18A工艺节点(与台积电和三星代工厂相比时相当于1.8纳米)时,这种领先地位才会持续下去。后两者将于明年下半年推出2nm节点。英特尔的工艺节点将从今年的20A增加到2027年的14A预计到2027年,当英特尔的14A(1.4纳米)加入台积电和三星代工厂的1.4纳米产量时,所有人都将迎头赶上。最重要的是,随着工艺节点的缩小,这些芯片所使用的晶体管的尺寸会变得更小。这意味着一个组件内可以安装更多晶体管。芯片内的晶体管越多,通常芯片的功能就越强大和/或能效越高。但从今年晚些时候的20A生产开始,英特尔将凭借美国芯片制造商称为PowerVia(也称为背面供电)的关键功能,在台积电和三星代工厂方面领先一些。台积电预计将在其N2P节点中使用这项技术,该节点将于2026年开始使用。三星代工预计将在明年推出的特定节点上使用背面供电,尽管三星代工尚未证实这一点。那么PowerVia是什么?大多数为芯片供电的小电线都位于构成硅元件的所有层的顶部。随着这些芯片变得越来越强大和复杂,顶部连接电源的电线正在与连接组件的电线竞争。这导致电力浪费和效率低下。PowerVia将给芯片供电的电线移动到芯片的背面。因此,时钟速度可提高6%,从而提高性能。再加上使用更先进的工艺节点带来的性能提升,其结果是使用更强大的芯片来运行更强大的设备。英特尔率先接收其高数值孔径极紫外光刻机英特尔首席执行官基辛格表示,“我把整个公司的赌注都押在了18A上。”英特尔预计其18A节点的性能和效率将超过台积电的最佳水平。英特尔还与Arm签署了一项协议,允许Arm的芯片设计客户拥有使用英特尔18A工艺节点构建的低功耗SoC。上个月,英特尔同意使用其18A工艺为微软打造定制芯片。四家未透露姓名的大公司(尚不清楚微软是否是这四家公司之一)已签约让英特尔使用18A工艺生产其芯片。...PC版:https://www.cnbeta.com.tw/articles/soft/1423973.htm手机版:https://m.cnbeta.com.tw/view/1423973.htm

封面图片

三星宣布与新思科技合作优化2nm芯片 明年量产

三星宣布与新思科技合作优化2nm芯片明年量产按照计划,三星在明年量产2nm芯片,据了解,三星2nm优化了多桥-通道场效应晶体管(MBCFET)架构,还引入了独特的外延和集成工艺,与现有的FinFET相比,新工艺显著提升了晶体管性能,幅度高达11%至46%,同时可变性降低了26%,漏电现象减少了约50%。业内人士指出,苹果、英伟达、AMD、英特尔和高通一直都是先进工艺的预定者,在3nm制程争夺战中,三星由于良率问题,导致客户订单都向台积电倾斜。因此,2nm制程对三星来说至关重要,如果三星在2nm工艺上做出成绩,想必会得到客户的青睐。之前高通曾表示,正在考虑三星、台积电双代工模式,因此,不排除骁龙8Gen5交给三星代工的可能。...PC版:https://www.cnbeta.com.tw/articles/soft/1435049.htm手机版:https://m.cnbeta.com.tw/view/1435049.htm

🔍 发送关键词来寻找群组、频道或视频。

启动SOSO机器人